@inproceedings{ShinDLALNH11, title = {Floorplanning challenges in early chip planning}, author = {Jeonghee Shin and John A. Darringer and Guojie Luo and Merav Aharoni and Alexey Lvov and Gi-Joon Nam and Michael B. Healy}, year = {2011}, doi = {10.1109/SOCC.2011.6085096}, url = {http://dx.doi.org/10.1109/SOCC.2011.6085096}, researchr = {https://researchr.org/publication/ShinDLALNH11}, cites = {0}, citedby = {0}, pages = {388-393}, booktitle = {IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, September 26-28, 2011}, publisher = {IEEE}, isbn = {978-1-4577-1616-4}, } @inproceedings{ShenL15-10, title = {Accelerate FPGA Routing with Parallel Recursive Partitioning}, author = {Minghua Shen and Guojie Luo}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2840836}, researchr = {https://researchr.org/publication/ShenL15-10}, cites = {0}, citedby = {0}, pages = {118-125}, booktitle = {Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015}, editor = {Diana Marculescu and Frank Liu}, publisher = {ACM}, isbn = {978-1-4673-8389-9}, } @inproceedings{LuoJZ15, title = {A Fast and Simple Block-Based Approach for Common Path Pessimism Removal in Static Timing Analysis}, author = {Guojie Luo and Baihong Jin and Wentai Zhang}, year = {2015}, doi = {10.1109/CADGRAPHICS.2015.52}, url = {http://dx.doi.org/10.1109/CADGRAPHICS.2015.52}, researchr = {https://researchr.org/publication/LuoJZ15}, cites = {0}, citedby = {0}, pages = {234-235}, booktitle = {14th International Conference on Computer-Aided Design and Computer Graphics, CAD/Graphics 2015, Xi'an, China, August 26-28, 2015}, publisher = {IEEE}, isbn = {978-1-4673-8020-1}, } @inproceedings{CongL08, title = {Highly efficient gradient computation for density-constrained analytical placement methods}, author = {Jason Cong and Guojie Luo}, year = {2008}, doi = {10.1145/1353629.1353639}, url = {http://doi.acm.org/10.1145/1353629.1353639}, researchr = {https://researchr.org/publication/CongL08}, cites = {0}, citedby = {0}, pages = {39-46}, booktitle = {Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008}, editor = {David Z. Pan and Gi-Joon Nam}, publisher = {ACM}, isbn = {978-1-60558-048-7}, } @inproceedings{XieSWL18, title = {V-PIM: An Analytical Overhead Model for Processing-in-Memory Architectures}, author = {Peichen Xie and Guangyu Sun and Feng Wang and Guojie Luo}, year = {2018}, doi = {10.1109/NVMSA.2018.00026}, url = {https://doi.org/10.1109/NVMSA.2018.00026}, researchr = {https://researchr.org/publication/XieSWL18}, cites = {0}, citedby = {0}, pages = {107-108}, booktitle = {IEEE 7th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2018, Hakodate, Sapporo, Japan, August 28-31, 2018}, publisher = {IEEE}, isbn = {978-1-5386-7403-1}, } @article{GaoZYYLWBWL16, title = {Multi-Story Indoor Floor Plan Reconstruction via Mobile Crowdsensing}, author = {Ruipeng Gao and Mingmin Zhao and Tao Ye and Fan Ye and Guojie Luo and Yizhou Wang and Kaigui Bian and Tao Wang and Xiaoming Li}, year = {2016}, doi = {10.1109/TMC.2016.2550040}, url = {http://doi.ieeecomputersociety.org/10.1109/TMC.2016.2550040}, researchr = {https://researchr.org/publication/GaoZYYLWBWL16}, cites = {0}, citedby = {0}, journal = {IEEE Trans. Mob. Comput.}, volume = {15}, number = {6}, pages = {1427-1442}, } @inproceedings{CongLL11, title = {A unified optimization framework for simultaneous gate sizing and placement under density constraints}, author = {Jason Cong and John Lee and Guojie Luo}, year = {2011}, doi = {10.1109/ISCAS.2011.5937786}, url = {http://dx.doi.org/10.1109/ISCAS.2011.5937786}, tags = {optimization, constraints}, researchr = {https://researchr.org/publication/CongLL11}, cites = {0}, citedby = {0}, pages = {1207-1210}, booktitle = {International Symposium on Circuits and Systems (ISCAS 2011), May 15-19 2011, Rio de Janeiro, Brazil}, publisher = {IEEE}, } @inproceedings{ShenLX18, title = {Exploiting Box Expansion and Grid Partitioning for Parallel FPGA Routing}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2018}, doi = {10.1109/FCCM.2018.00043}, url = {http://doi.ieeecomputersociety.org/10.1109/FCCM.2018.00043}, researchr = {https://researchr.org/publication/ShenLX18}, cites = {0}, citedby = {0}, pages = {209}, booktitle = {26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2018, Boulder, CO, USA, April 29 - May 1, 2018}, publisher = {IEEE Computer Society}, isbn = {978-1-5386-5522-1}, } @inproceedings{ShenL17-10, title = {Tiguan: Energy-aware collision-free control for large-scale connected vehicles}, author = {Minghua Shen and Guojie Luo}, year = {2017}, doi = {10.1109/ISLPED.2017.8009184}, url = {https://doi.org/10.1109/ISLPED.2017.8009184}, researchr = {https://researchr.org/publication/ShenL17-10}, cites = {0}, citedby = {0}, pages = {1-6}, booktitle = {2017 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2017, Taipei, Taiwan, July 24-26, 2017}, publisher = {IEEE}, isbn = {978-1-5090-6023-8}, } @inproceedings{ZhouLWL0L20, title = {Hardware-assisted Service Live Migration in Resource-limited Edge Computing Systems}, author = {Zhe Zhou and Xintong Li and Xiaoyang Wang 0006 and Zheng Liang and Guangyu Sun 0003 and Guojie Luo}, year = {2020}, doi = {10.1109/DAC18072.2020.9218677}, url = {https://doi.org/10.1109/DAC18072.2020.9218677}, researchr = {https://researchr.org/publication/ZhouLWL0L20}, cites = {0}, citedby = {0}, pages = {1-6}, booktitle = {57th ACM/IEEE Design Automation Conference, DAC 2020, San Francisco, CA, USA, July 20-24, 2020}, publisher = {IEEE}, isbn = {978-1-7281-1085-1}, } @inproceedings{ZhangZLW0C19, title = {Frequency Improvement of Systolic Array-Based CNNs on FPGAs}, author = {Jiaxi Zhang and Wentai Zhang and Guojie Luo and Xuechao Wei and Yun Liang 0001 and Jason Cong}, year = {2019}, doi = {10.1109/ISCAS.2019.8702071}, url = {https://doi.org/10.1109/ISCAS.2019.8702071}, researchr = {https://researchr.org/publication/ZhangZLW0C19}, cites = {0}, citedby = {0}, pages = {1-4}, booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2019, Sapporo, Japan, May 26-29, 2019}, publisher = {IEEE}, isbn = {978-1-7281-0397-6}, } @inproceedings{CongLTX13, title = {Optimizing routability in large-scale mixed-size placement}, author = {Jason Cong and Guojie Luo and Kalliopi Tsota and Bingjun Xiao}, year = {2013}, doi = {10.1109/ASPDAC.2013.6509636}, url = {http://dx.doi.org/10.1109/ASPDAC.2013.6509636}, researchr = {https://researchr.org/publication/CongLTX13}, cites = {0}, citedby = {0}, pages = {441-446}, booktitle = {18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013}, publisher = {IEEE}, isbn = {978-1-4673-3029-9}, } @inproceedings{XuLLSJ15, title = {Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging}, author = {Chang Xu and Peixin Li and Guojie Luo and Yiyu Shi and Iris Hui-Ru Jiang}, year = {2015}, doi = {10.1145/2717764.2717767}, url = {http://doi.acm.org/10.1145/2717764.2717767}, researchr = {https://researchr.org/publication/XuLLSJ15}, cites = {0}, citedby = {0}, pages = {93-100}, booktitle = {Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29 - April 01, 2015}, editor = {Azadeh Davoodi and Evangeline Young}, publisher = {ACM}, isbn = {978-1-4503-3399-3}, } @inproceedings{HeH0BL18, title = {FPGA-Based Real-Time Super-Resolution System for Ultra High Definition Videos}, author = {Zhuolun He and Hanxian Huang and Ming Jiang 0001 and Yuanchao Bai and Guojie Luo}, year = {2018}, doi = {10.1109/FCCM.2018.00036}, url = {http://doi.ieeecomputersociety.org/10.1109/FCCM.2018.00036}, researchr = {https://researchr.org/publication/HeH0BL18}, cites = {0}, citedby = {0}, pages = {181-188}, booktitle = {26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2018, Boulder, CO, USA, April 29 - May 1, 2018}, publisher = {IEEE Computer Society}, isbn = {978-1-5386-5522-1}, } @inproceedings{CongLS11, title = {Thermal-aware cell and through-silicon-via co-placement for 3D ICs}, author = {Jason Cong and Guojie Luo and Yiyu Shi}, year = {2011}, doi = {10.1145/2024724.2024876}, url = {http://doi.acm.org/10.1145/2024724.2024876}, researchr = {https://researchr.org/publication/CongLS11}, cites = {0}, citedby = {0}, pages = {670-675}, booktitle = {Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, publisher = {ACM}, isbn = {978-1-4503-0636-2}, } @inproceedings{ZhouWL0XL20, title = {SaFace: Towards Scenario-aware Face Recognition via Edge Computing System}, author = {Zhe Zhou and Bingzhe Wu and Zheng Liang and Guangyu Sun 0003 and Chenren Xu and Guojie Luo}, year = {2020}, url = {https://www.usenix.org/conference/hotedge20/presentation/zhou-zhe}, researchr = {https://researchr.org/publication/ZhouWL0XL20}, cites = {0}, citedby = {0}, booktitle = {3rd USENIX Workshop on Hot Topics in Edge Computing, HotEdge 2020, June 25-26, 2020}, editor = {Irfan Ahmad and Ming Zhao 0002}, publisher = {USENIX Association}, } @inproceedings{WangZZLZL20, title = {Dual-Output LUT Merging during FPGA Technology Mapping}, author = {Feng Wang and Liren Zhu and Jiaxi Zhang and Lei Li and Yang Zhang and Guojie Luo}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9256596}, researchr = {https://researchr.org/publication/WangZZLZL20}, cites = {0}, citedby = {0}, pages = {1-9}, booktitle = {IEEE/ACM International Conference On Computer Aided Design, ICCAD 2020, San Diego, CA, USA, November 2-5, 2020}, publisher = {IEEE}, } @inproceedings{QiaoL0021, title = {FPGA-accelerated Iterative Reconstruction for Transmission Electron Tomography}, author = {Linjun Qiao and Guojie Luo and Wentai Zhang 0001 and Ming Jiang 0001}, year = {2021}, doi = {10.1109/FCCM51124.2021.00025}, url = {https://doi.org/10.1109/FCCM51124.2021.00025}, researchr = {https://researchr.org/publication/QiaoL0021}, cites = {0}, citedby = {0}, pages = {152-156}, booktitle = {29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2021, Orlando, FL, USA, May 9-12, 2021}, publisher = {IEEE}, isbn = {978-1-6654-3555-0}, } @inproceedings{LiWZLWC12, title = {Memory partitioning and scheduling co-optimization in behavioral synthesis}, author = {Peng Li and Yuxin Wang and Peng Zhang and Guojie Luo and Tao Wang and Jason Cong}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=6386711}, researchr = {https://researchr.org/publication/LiWZLWC12}, cites = {0}, citedby = {0}, pages = {488-495}, booktitle = {2012 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2012, San Jose, CA, USA, November 5-8, 2012}, publisher = {IEEE}, } @book{sbcs-GaoYLC18, title = {Smartphone-Based Indoor Map Construction - Principles and Applications}, author = {Ruipeng Gao and Fan Ye and Guojie Luo and Jason Cong}, year = {2018}, doi = {10.1007/978-981-10-8378-5}, url = {https://doi.org/10.1007/978-981-10-8378-5}, researchr = {https://researchr.org/publication/sbcs-GaoYLC18}, cites = {0}, citedby = {0}, series = {Springer Briefs in Computer Science}, publisher = {Springer}, isbn = {978-981-10-8377-8}, } @inproceedings{TsaiSLJ13, title = {FF-bond: multi-bit flip-flop bonding at placement}, author = {Chang-Cheng Tsai and Yiyu Shi and Guojie Luo and Iris Hui-Ru Jiang}, year = {2013}, doi = {10.1145/2451916.2451955}, url = {http://doi.acm.org/10.1145/2451916.2451955}, researchr = {https://researchr.org/publication/TsaiSLJ13}, cites = {0}, citedby = {0}, pages = {147-153}, booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}, editor = {Cheng-Kok Koh and Cliff C. N. Sze}, publisher = {ACM}, isbn = {978-1-4503-1954-6}, } @article{LiuYLSLWFZ19, title = {Data-Flow Graph Mapping Optimization for CGRA With Deep Reinforcement Learning}, author = {Dajiang Liu and Shouyi Yin and Guojie Luo and Jiaxing Shang and Leibo Liu and Shaojun Wei and Yong Feng and Shangbo Zhou}, year = {2019}, doi = {10.1109/TCAD.2018.2878183}, url = {https://doi.org/10.1109/TCAD.2018.2878183}, researchr = {https://researchr.org/publication/LiuYLSLWFZ19}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {38}, number = {12}, pages = {2271-2283}, } @article{XuLLSJ16, title = {Analytical Clustering Score with Application to Postplacement Register Clustering}, author = {Chang Xu and Guojie Luo and Peixin Li and Yiyu Shi and Iris Hui-Ru Jiang}, year = {2016}, doi = {10.1145/2894753}, url = {http://doi.acm.org/10.1145/2894753}, researchr = {https://researchr.org/publication/XuLLSJ16}, cites = {0}, citedby = {0}, journal = {ACM Trans. Design Autom. Electr. Syst.}, volume = {21}, number = {3}, pages = {41}, } @inproceedings{TangL019, title = {FTConv: FPGA Acceleration for Transposed Convolution Layers in Deep Neural Networks}, author = {Zhucheng Tang and Guojie Luo and Ming Jiang 0001}, year = {2019}, doi = {10.1145/3289602.3293976}, url = {https://doi.org/10.1145/3289602.3293976}, researchr = {https://researchr.org/publication/TangL019}, cites = {0}, citedby = {0}, pages = {189}, booktitle = {Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA 2019, Seaside, CA, USA, February 24-26, 2019}, editor = {Kia Bazargan and Stephen Neuendorffer}, publisher = {ACM}, isbn = {978-1-4503-6137-8}, } @article{WangLSZKWNZ21, title = {STAR: Synthesis of Stateful Logic in RRAM Targeting High Area Utilization}, author = {Feng Wang and Guojie Luo and Guangyu Sun 0003 and Jiaxi Zhang and JinFeng Kang and Yuhao Wang and Dimin Niu and Hongzhong Zheng}, year = {2021}, doi = {10.1109/TCAD.2020.3015465}, url = {https://doi.org/10.1109/TCAD.2020.3015465}, researchr = {https://researchr.org/publication/WangLSZKWNZ21}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {40}, number = {5}, pages = {864-877}, } @inproceedings{Li0ZLLLJ18, title = {cuMBIR: An Efficient Framework for Low-dose X-ray CT Image Reconstruction on GPUs}, author = {Xiuhong Li and Yun Liang 0001 and Wentai Zhang and Taide Liu and Haochen Li and Guojie Luo and Ming Jiang 0001}, year = {2018}, doi = {10.1145/3205289.3205309}, url = {https://doi.org/10.1145/3205289.3205309}, researchr = {https://researchr.org/publication/Li0ZLLLJ18}, cites = {0}, citedby = {0}, pages = {184-194}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, ICS 2018, Beijing, China, June 12-15, 2018}, publisher = {ACM}, isbn = {978-1-4503-5783-8}, } @inproceedings{GaoLY16, title = {VeMap: Indoor Road Map Construction via Smartphone-Based Vehicle Tracking}, author = {Ruipeng Gao and Guojie Luo and Fan Ye}, year = {2016}, doi = {10.1109/GLOCOM.2016.7842373}, url = {http://dx.doi.org/10.1109/GLOCOM.2016.7842373}, researchr = {https://researchr.org/publication/GaoLY16}, cites = {0}, citedby = {0}, pages = {1-6}, booktitle = {2016 IEEE Global Communications Conference, GLOBECOM 2016, Washington, DC, USA, December 4-8, 2016}, publisher = {IEEE}, isbn = {978-1-5090-1328-9}, } @inproceedings{ShenL17-1, title = {Corolla: GPU-Accelerated FPGA Routing Based on Subgraph Dynamic Expansion}, author = {Minghua Shen and Guojie Luo}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021732}, researchr = {https://researchr.org/publication/ShenL17-1}, cites = {0}, citedby = {0}, pages = {105-114}, booktitle = {Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA 2017, Monterey, CA, USA, February 22-24, 2017}, editor = {Jonathan W. Greene and Jason Helge Anderson}, publisher = {ACM}, isbn = {978-1-4503-4354-1}, } @inproceedings{JinLZ16-1, title = {A fast and accurate approach for common path pessimism removal in static timing analysis}, author = {Baihong Jin and Guojie Luo and Wentai Zhang}, year = {2016}, doi = {10.1109/ISCAS.2016.7539131}, url = {http://dx.doi.org/10.1109/ISCAS.2016.7539131}, researchr = {https://researchr.org/publication/JinLZ16-1}, cites = {0}, citedby = {0}, pages = {2623-2626}, booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2016, Montréal, QC, Canada, May 22-25, 2016}, publisher = {IEEE}, isbn = {978-1-4799-5341-7}, } @inproceedings{WangZWZL17, title = {Search space reduction for the non-exact projective NPNP Boolean matching problem}, author = {Feng Wang and Jiaxi Zhang and Lange Wu and Wentai Zhang and Guojie Luo}, year = {2017}, doi = {10.1109/ISCAS.2017.8050970}, url = {https://doi.org/10.1109/ISCAS.2017.8050970}, researchr = {https://researchr.org/publication/WangZWZL17}, cites = {0}, citedby = {0}, pages = {1-4}, booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2017, Baltimore, MD, USA, May 28-31, 2017}, publisher = {IEEE}, isbn = {978-1-4673-6853-7}, } @inproceedings{ZhangHZ0L18, title = {Adaptive-precision framework for SGD using deep Q-learning}, author = {Wentai Zhang and Hanxian Huang and Jiaxi Zhang and Ming Jiang 0001 and Guojie Luo}, year = {2018}, doi = {10.1145/3240765.3240774}, url = {https://doi.org/10.1145/3240765.3240774}, researchr = {https://researchr.org/publication/ZhangHZ0L18}, cites = {0}, citedby = {0}, pages = {35}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, ICCAD 2018, San Diego, CA, USA, November 05-08, 2018}, editor = {Iris Bahar}, publisher = {ACM}, isbn = {978-1-4503-5950-4}, } @inproceedings{WenL19-1, title = {An Analytical Method of Automatic Alignment for Electron Tomography}, author = {Shuang Wen and Guojie Luo}, year = {2019}, doi = {10.1007/978-3-030-33642-4_12}, url = {https://doi.org/10.1007/978-3-030-33642-4_12}, researchr = {https://researchr.org/publication/WenL19-1}, cites = {0}, citedby = {0}, pages = {106-114}, booktitle = {Large-Scale Annotation of Biomedical Data and Expert Label Synthesis and Hardware Aware Learning for Medical Imaging and Computer Assisted Intervention - International Workshops, LABELS 2019, HAL-MICCAI 2019, and CuRIOUS 2019, Held in Conjunction with MICCAI 2019, Shenzhen, China, October 13 and 17, 2019, Proceedings}, editor = {Luping Zhou and Nicholas Heller and Yiyu Shi and Yiming Xiao and Raphael Sznitman and Veronika Cheplygina and Diana Mateus and Emanuele Trucco and Xiaobo Sharon Hu and Danny Ziyi Chen and Matthieu Chabanas and Hassan Rivaz and Ingerid Reinertsen}, volume = {11851}, series = {Lecture Notes in Computer Science}, publisher = {Springer}, isbn = {978-3-030-33642-4}, } @inproceedings{CongL09, title = {A multilevel analytical placement for 3D ICs}, author = {Jason Cong and Guojie Luo}, year = {2009}, doi = {10.1145/1509633.1509725}, url = {http://doi.acm.org/10.1145/1509633.1509725}, researchr = {https://researchr.org/publication/CongL09}, cites = {0}, citedby = {0}, pages = {361-366}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009}, publisher = {IEEE}, isbn = {978-1-4244-2748-2}, } @article{CongLR08, title = {Highly Efficient Gradient Computation for Density-Constrained Analytical Placement}, author = {Jason Cong and Guojie Luo and E. Radke}, year = {2008}, doi = {10.1109/TCAD.2008.2006158}, url = {http://dx.doi.org/10.1109/TCAD.2008.2006158}, tags = {e-science}, researchr = {https://researchr.org/publication/CongLR08}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {27}, number = {12}, pages = {2133-2144}, } @inproceedings{MaL21-7, title = {TOCO: A Systolic Network for Efficient Transposed Convolutions with Output-Reuse Paths}, author = {Zhengzheng Ma and Guojie Luo}, year = {2021}, doi = {10.1109/FCCM51124.2021.00060}, url = {https://doi.org/10.1109/FCCM51124.2021.00060}, researchr = {https://researchr.org/publication/MaL21-7}, cites = {0}, citedby = {0}, pages = {275}, booktitle = {29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2021, Orlando, FL, USA, May 9-12, 2021}, publisher = {IEEE}, isbn = {978-1-6654-3555-0}, } @inproceedings{ThorolfssonLCF10, title = {Logic-on-logic 3D integration and placement}, author = {Thorlindur Thorolfsson and Guojie Luo and Jason Cong and Paul D. Franzon}, year = {2010}, doi = {10.1109/3DIC.2010.5751451}, url = {http://dx.doi.org/10.1109/3DIC.2010.5751451}, tags = {logic}, researchr = {https://researchr.org/publication/ThorolfssonLCF10}, cites = {0}, citedby = {0}, pages = {1-4}, booktitle = {IEEE International Conference on 3D System Integration, 3DIC 2010, Munich, Germany, 16-18 November 2010}, publisher = {IEEE}, } @inproceedings{Luo11-10, title = {Physical hierarchy exploration of 3D processors}, author = {Guojie Luo}, year = {2011}, doi = {10.1109/ISOCC.2011.6138666}, url = {http://dx.doi.org/10.1109/ISOCC.2011.6138666}, researchr = {https://researchr.org/publication/Luo11-10}, cites = {0}, citedby = {0}, pages = {139-141}, booktitle = {International SoC Design Conference, ISOCC 2011, Jeju, South Korea, November 17-18, 2011}, publisher = {IEEE}, isbn = {978-1-4577-0709-4}, } @inproceedings{ZhaoGZYYWBLZ14, title = {VeLoc: finding your car in the parking lot}, author = {Mingmin Zhao and Ruipeng Gao and Jiaxu Zhu and Tao Ye and Fan Ye and Yizhou Wang and Kaigui Bian and Guojie Luo and Ming Zhang}, year = {2014}, doi = {10.1145/2668332.2668357}, url = {http://doi.acm.org/10.1145/2668332.2668357}, researchr = {https://researchr.org/publication/ZhaoGZYYWBLZ14}, cites = {0}, citedby = {0}, pages = {346-347}, booktitle = {Proceedings of the 12th ACM Conference on Embedded Network Sensor Systems, SenSys '14, Memphis, Tennessee, USA, November 3-6, 2014}, editor = {Ákos Lédeczi and Prabal Dutta and Chenyang Lu}, publisher = {ACM}, isbn = {978-1-4503-3143-2}, } @article{GaoZYYWL17, title = {Smartphone-Based Real Time Vehicle Tracking in Indoor Parking Structures}, author = {Ruipeng Gao and Mingmin Zhao and Tao Ye and Fan Ye and Yizhou Wang and Guojie Luo}, year = {2017}, doi = {10.1109/TMC.2017.2684167}, url = {http://doi.ieeecomputersociety.org/10.1109/TMC.2017.2684167}, researchr = {https://researchr.org/publication/GaoZYYWL17}, cites = {0}, citedby = {0}, journal = {IEEE Trans. Mob. Comput.}, volume = {16}, number = {7}, pages = {2023-2036}, } @inproceedings{HeL17-1, title = {FPGA Acceleration for Computational Glass-Free Displays}, author = {Zhuolun He and Guojie Luo}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021728}, researchr = {https://researchr.org/publication/HeL17-1}, cites = {0}, citedby = {0}, pages = {267-274}, booktitle = {Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA 2017, Monterey, CA, USA, February 22-24, 2017}, editor = {Jonathan W. Greene and Jason Helge Anderson}, publisher = {ACM}, isbn = {978-1-4503-4354-1}, } @article{ShenLX19, title = {Exploring GPU-Accelerated Routing for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2019}, doi = {10.1109/TPDS.2018.2885745}, url = {https://doi.org/10.1109/TPDS.2018.2885745}, researchr = {https://researchr.org/publication/ShenLX19}, cites = {0}, citedby = {0}, journal = {IEEE Trans. Parallel Distrib. Syst.}, volume = {30}, number = {6}, pages = {1331-1345}, } @inproceedings{ShenLX17, title = {A coordinated synchronous and asynchronous parallel routing approach for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2017}, doi = {10.1109/ICCAD.2017.8203829}, url = {https://doi.org/10.1109/ICCAD.2017.8203829}, researchr = {https://researchr.org/publication/ShenLX17}, cites = {0}, citedby = {0}, pages = {577-584}, booktitle = {2017 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2017, Irvine, CA, USA, November 13-16, 2017}, publisher = {IEEE}, isbn = {978-1-5386-3093-8}, } @article{ShenLX21, title = {Coarse-Grained Parallel Routing With Recursive Partitioning for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2021}, doi = {10.1109/TPDS.2020.3035787}, url = {https://doi.org/10.1109/TPDS.2020.3035787}, researchr = {https://researchr.org/publication/ShenLX21}, cites = {0}, citedby = {0}, journal = {IEEE Trans. Parallel Distrib. Syst.}, volume = {32}, number = {4}, pages = {884-899}, } @inproceedings{ShiTL019, title = {Winograd-Based Real-Time Super-Resolution System on FPGA}, author = {Bizhao Shi and Zhucheng Tang and Guojie Luo and Ming Jiang 0001}, year = {2019}, doi = {10.1109/ICFPT47387.2019.00083}, url = {https://doi.org/10.1109/ICFPT47387.2019.00083}, researchr = {https://researchr.org/publication/ShiTL019}, cites = {0}, citedby = {0}, pages = {423-426}, booktitle = {International Conference on Field-Programmable Technology, ICFPT 2019, Tianjin, China, December 9-13, 2019}, publisher = {IEEE}, isbn = {978-1-7281-2943-3}, } @inproceedings{Zhang0L20-0, title = {Evaluating Low-Memory GEMMs for Convolutional Neural Network Inference on FPGAs}, author = {Wentai Zhang and Ming Jiang 0001 and Guojie Luo}, year = {2020}, doi = {10.1109/FCCM48280.2020.00013}, url = {https://doi.org/10.1109/FCCM48280.2020.00013}, researchr = {https://researchr.org/publication/Zhang0L20-0}, cites = {0}, citedby = {0}, pages = {28-32}, booktitle = {28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2020, Fayetteville, AR, USA, May 3-6, 2020}, publisher = {IEEE}, isbn = {978-1-7281-5803-7}, } @article{GaoTYLBWWL16, title = {Sextant: Towards Ubiquitous Indoor Localization Service by Photo-Taking of the Environment}, author = {Ruipeng Gao and Yang Tian and Fan Ye and Guojie Luo and Kaigui Bian and Yizhou Wang and Tao Wang and Xiaoming Li}, year = {2016}, doi = {10.1109/TMC.2015.2418205}, url = {http://doi.ieeecomputersociety.org/10.1109/TMC.2015.2418205}, researchr = {https://researchr.org/publication/GaoTYLBWWL16}, cites = {0}, citedby = {0}, journal = {IEEE Trans. Mob. Comput.}, volume = {15}, number = {2}, pages = {460-474}, } @inproceedings{XuZL14-3, title = {Analyzing the impact of heterogeneous blocks on FPGA placement quality}, author = {Chang Xu and Wentai Zhang and Guojie Luo}, year = {2014}, doi = {10.1109/FPT.2014.7082750}, url = {http://dx.doi.org/10.1109/FPT.2014.7082750}, researchr = {https://researchr.org/publication/XuZL14-3}, cites = {0}, citedby = {0}, pages = {36-43}, booktitle = {2014 International Conference on Field-Programmable Technology, FPT 2014, Shanghai, China, December 10-12, 2014}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok-Hay So and Yuchun Ma}, publisher = {IEEE}, isbn = {978-1-4799-6245-7}, } @inproceedings{WenL20-0, title = {FPGA-accelerated Automatic Alignment for Three-dimensional Tomography}, author = {Shuang Wen and Guojie Luo}, year = {2020}, doi = {10.1109/FCCM48280.2020.00031}, url = {https://doi.org/10.1109/FCCM48280.2020.00031}, researchr = {https://researchr.org/publication/WenL20-0}, cites = {0}, citedby = {0}, pages = {172-176}, booktitle = {28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2020, Fayetteville, AR, USA, May 3-6, 2020}, publisher = {IEEE}, isbn = {978-1-7281-5803-7}, } @article{WangLSWNZ21, title = {Area Efficient Pattern Representation of Binary Neural Networks on RRAM}, author = {Feng Wang 0046 and Guojie Luo and Guang-Yu Sun 0003 and Yuhao Wang and Dimin Niu and Hongzhong Zheng}, year = {2021}, doi = {10.1007/s11390-021-0906-y}, url = {https://doi.org/10.1007/s11390-021-0906-y}, researchr = {https://researchr.org/publication/WangLSWNZ21}, cites = {0}, citedby = {0}, journal = {J. Comput. Sci. Technol.}, volume = {36}, number = {5}, pages = {1155-1166}, } @inproceedings{LuoZZC16, title = {Scaling Up Physical Design: Challenges and Opportunities}, author = {Guojie Luo and Wentai Zhang and Jiaxi Zhang and Jason Cong}, year = {2016}, doi = {10.1145/2872334.2872342}, url = {http://doi.acm.org/10.1145/2872334.2872342}, researchr = {https://researchr.org/publication/LuoZZC16}, cites = {0}, citedby = {0}, pages = {131-137}, booktitle = {Proceedings of the 2016 on International Symposium on Physical Design, ISPD 2016, Santa Rosa, CA, USA, April 3-6, 2016}, editor = {Evangeline F. Y. Young and Mustafa Ozdal}, publisher = {ACM}, isbn = {978-1-4503-4039-7}, } @inproceedings{ZhangWSSLC16, title = {Energy-Efficient CNN Implementation on a Deeply Pipelined FPGA Cluster}, author = {Chen Zhang and Di Wu and Jiayu Sun and Guangyu Sun and Guojie Luo and Jason Cong}, year = {2016}, doi = {10.1145/2934583.2934644}, url = {http://doi.acm.org/10.1145/2934583.2934644}, researchr = {https://researchr.org/publication/ZhangWSSLC16}, cites = {0}, citedby = {0}, pages = {326-331}, booktitle = {Proceedings of the 2016 International Symposium on Low Power Electronics and Design, ISLPED 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016}, publisher = {ACM}, isbn = {978-1-4503-4185-1}, } @inproceedings{ShenL17-7, title = {Megrez: Parallelizing FPGA Routing with Strictly-Ordered Partitioning}, author = {Minghua Shen and Guojie Luo}, year = {2017}, doi = {10.1109/FCCM.2017.18}, url = {https://doi.org/10.1109/FCCM.2017.18}, researchr = {https://researchr.org/publication/ShenL17-7}, cites = {0}, citedby = {0}, pages = {27}, booktitle = {25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2017, Napa, CA, USA, April 30 - May 2, 2017}, publisher = {IEEE Computer Society}, isbn = {978-1-5386-4037-1}, } @article{LuoSC13, title = {An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness}, author = {Guojie Luo and Yiyu Shi and Jason Cong}, year = {2013}, doi = {10.1109/TCAD.2012.2232708}, url = {http://dx.doi.org/10.1109/TCAD.2012.2232708}, researchr = {https://researchr.org/publication/LuoSC13}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {32}, number = {4}, pages = {510-523}, } @article{CongL10-4, title = {Advances and Challenges in 3D Physical Design}, author = {Jason Cong and Guojie Luo}, year = {2010}, doi = {10.2197/ipsjtsldm.3.2}, url = {http://dx.doi.org/10.2197/ipsjtsldm.3.2}, researchr = {https://researchr.org/publication/CongL10-4}, cites = {0}, citedby = {0}, journal = {IPSJ T. on System LSI Design Methodology}, volume = {3}, pages = {2-18}, } @inproceedings{QiaoL0020, title = {FPGA Acceleration of Ray-Based Iterative Algorithm for 3D Low-Dose CT Reconstruction}, author = {Linjun Qiao and Guojie Luo and Wentai Zhang 0001 and Ming Jiang 0001}, year = {2020}, doi = {10.1109/FPL50879.2020.00026}, url = {https://doi.org/10.1109/FPL50879.2020.00026}, researchr = {https://researchr.org/publication/QiaoL0020}, cites = {0}, citedby = {0}, pages = {98-102}, booktitle = {30th International Conference on Field-Programmable Logic and Applications, FPL 2020, Gothenburg, Sweden, August 31 - September 4, 2020}, editor = {Nele Mentens and Leonel Sousa and Pedro Trancoso and Miquel Pericàs and Ioannis Sourdis}, publisher = {IEEE}, isbn = {978-1-7281-9902-3}, } @inproceedings{CongL10, title = {An analytical placer for mixed-size 3D placement}, author = {Jason Cong and Guojie Luo}, year = {2010}, doi = {10.1145/1735023.1735044}, url = {http://doi.acm.org/10.1145/1735023.1735044}, researchr = {https://researchr.org/publication/CongL10}, cites = {0}, citedby = {0}, pages = {61-66}, booktitle = {Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010}, editor = {Prashant Saxena and Yao-Wen Chang}, publisher = {ACM}, isbn = {978-1-60558-920-6}, } @article{0001QHC0L21, title = {FPGA Acceleration for 3-D Low-Dose Tomographic Reconstruction}, author = {Wentai Zhang 0001 and Linjun Qiao and William Hsu and Yong Cui and Ming Jiang 0001 and Guojie Luo}, year = {2021}, doi = {10.1109/TCAD.2020.3006183}, url = {https://doi.org/10.1109/TCAD.2020.3006183}, researchr = {https://researchr.org/publication/0001QHC0L21}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {40}, number = {4}, pages = {666-679}, } @inproceedings{ZhaoYGYWL15, title = {VeTrack: Real Time Vehicle Tracking in Uninstrumented Indoor Environments}, author = {Mingmin Zhao and Tao Ye and Ruipeng Gao and Fan Ye and Yizhou Wang and Guojie Luo}, year = {2015}, doi = {10.1145/2809695.2809726}, url = {http://doi.acm.org/10.1145/2809695.2809726}, researchr = {https://researchr.org/publication/ZhaoYGYWL15}, cites = {0}, citedby = {0}, pages = {99-112}, booktitle = {Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems, SenSys 2015, Seoul, South Korea, November 1-4, 2015}, editor = {Junehwa Song and Tarek Abdelzaher and Cecilia Mascolo}, publisher = {ACM}, isbn = {978-1-4503-3631-4}, } @inproceedings{ZhangZSLX19, title = {An Efficient Mapping Approach to Large-Scale DNNs on Multi-FPGA Architectures}, author = {Wentai Zhang and Jiaxi Zhang and Minghua Shen and Guojie Luo and Nong Xiao}, year = {2019}, doi = {10.23919/DATE.2019.8715174}, url = {https://doi.org/10.23919/DATE.2019.8715174}, researchr = {https://researchr.org/publication/ZhangZSLX19}, cites = {0}, citedby = {0}, pages = {1241-1244}, booktitle = {Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019}, publisher = {IEEE}, isbn = {978-3-9819263-2-3}, } @inproceedings{WangL0ZHK19, title = {Parallel Stateful Logic in RRAM: Theoretical Analysis and Arithmetic Design}, author = {Feng Wang and Guojie Luo and Guangyu Sun 0003 and Jiaxi Zhang and Peng Huang and JinFeng Kang}, year = {2019}, doi = {10.1109/ASAP.2019.000-8}, url = {https://doi.org/10.1109/ASAP.2019.000-8}, researchr = {https://researchr.org/publication/WangL0ZHK19}, cites = {0}, citedby = {0}, pages = {157-164}, booktitle = {30th IEEE International Conference on Application-specific Systems, Architectures and Processors, ASAP 2019, New York, NY, USA, July 15-17, 2019}, publisher = {IEEE}, isbn = {978-1-7281-1601-3}, } @inproceedings{DengLLS14, title = {Rapid design space exploration of two-level unified caches}, author = {Jingyu Deng and Yun Liang and Guojie Luo and Guangyu Sun}, year = {2014}, doi = {10.1109/ISCAS.2014.6865540}, url = {http://dx.doi.org/10.1109/ISCAS.2014.6865540}, researchr = {https://researchr.org/publication/DengLLS14}, cites = {0}, citedby = {0}, pages = {1937-1940}, booktitle = {IEEE International Symposium on Circuits and Systemss, ISCAS 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, publisher = {IEEE}, } @article{ShenLX20, title = {EEPC: A Framework for Energy-Efficient Parallel Control of Connected Cars}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2020}, doi = {10.1109/TPDS.2019.2930500}, url = {https://doi.org/10.1109/TPDS.2019.2930500}, researchr = {https://researchr.org/publication/ShenLX20}, cites = {0}, citedby = {0}, journal = {IEEE Trans. Parallel Distrib. Syst.}, volume = {31}, number = {1}, pages = {64-79}, } @inproceedings{LiPLZWZMJC14, title = {FPGA Acceleration for Simultaneous Medical Image Reconstruction and Segmentation}, author = {Peng Li and Thomas Page and Guojie Luo and Wentai Zhang and Pei Wang and Peng Zhang and Peter Maass and Ming Jiang and Jason Cong}, year = {2014}, doi = {10.1109/FCCM.2014.54}, url = {http://dx.doi.org/10.1109/FCCM.2014.54}, researchr = {https://researchr.org/publication/LiPLZWZMJC14}, cites = {0}, citedby = {0}, pages = {172}, booktitle = {22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, FCCM 2014, Boston, MA, USA, May 11-13, 2014}, publisher = {IEEE Computer Society}, isbn = {978-1-4799-5110-9}, } @inproceedings{ZhouSZG0L21, title = {BlockGNN: Towards Efficient GNN Acceleration Using Block-Circulant Weight Matrices}, author = {Zhe Zhou and Bizhao Shi and Zhe Zhang and Yijin Guan and Guangyu Sun 0003 and Guojie Luo}, year = {2021}, doi = {10.1109/DAC18074.2021.9586181}, url = {https://doi.org/10.1109/DAC18074.2021.9586181}, researchr = {https://researchr.org/publication/ZhouSZG0L21}, cites = {0}, citedby = {0}, pages = {1009-1014}, booktitle = {58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, December 5-9, 2021}, publisher = {IEEE}, isbn = {978-1-6654-3274-0}, } @inproceedings{CongLLP12, title = {Towards layout-friendly high-level synthesis}, author = {Jason Cong and Bin Liu 0006 and Guojie Luo and Raghu Prabhakar}, year = {2012}, doi = {10.1145/2160916.2160952}, url = {http://doi.acm.org/10.1145/2160916.2160952}, researchr = {https://researchr.org/publication/CongLLP12}, cites = {0}, citedby = {0}, pages = {165-172}, booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}, editor = {Jiang Hu and Cheng-Kok Koh}, publisher = {ACM}, isbn = {978-1-4503-1167-0}, } @inproceedings{ZhangYLZYLS21, title = {An FPGA-Based Neural Network Overlay for ADAS Supporting Multi-Model and Multi-Mode}, author = {Jiaxi Zhang and Tao Yang and Qingzheng Li and Bo Zhou and Yang Yang and Guojie Luo and Jianping Shi}, year = {2021}, doi = {10.1109/ISCAS51556.2021.9401751}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401751}, researchr = {https://researchr.org/publication/ZhangYLZYLS21}, cites = {0}, citedby = {0}, pages = {1-5}, booktitle = {IEEE International Symposium on Circuits and Systems, ISCAS 2021, Daegu, South Korea, May 22-28, 2021}, publisher = {IEEE}, isbn = {978-1-7281-9201-7}, } @inproceedings{XuLZYLZ17, title = {A Parallel Bandit-Based Approach for Autotuning FPGA Compilation}, author = {Chang Xu and Gai Liu and Ritchie Zhao and Stephen Yang and Guojie Luo and Zhiru Zhang}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021747}, researchr = {https://researchr.org/publication/XuLZYLZ17}, cites = {0}, citedby = {0}, pages = {157-166}, booktitle = {Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, FPGA 2017, Monterey, CA, USA, February 22-24, 2017}, editor = {Jonathan W. Greene and Jason Helge Anderson}, publisher = {ACM}, isbn = {978-1-4503-4354-1}, } @article{ShenZLX20, title = {Serial-Equivalent Static and Dynamic Parallel Routing for FPGAs}, author = {Minghua Shen and Wentai Zhang and Guojie Luo and Nong Xiao}, year = {2020}, doi = {10.1109/TCAD.2018.2887050}, url = {https://doi.org/10.1109/TCAD.2018.2887050}, researchr = {https://researchr.org/publication/ShenZLX20}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {39}, number = {2}, pages = {411-423}, } @inproceedings{ShinDLWJ11, title = {Early chip planning cockpit}, author = {Jeonghee Shin and John A. Darringer and Guojie Luo and Alan J. Weger and C. L. Johnson}, year = {2011}, url = {http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5763292}, researchr = {https://researchr.org/publication/ShinDLWJ11}, cites = {0}, citedby = {0}, pages = {863-866}, booktitle = {Design, Automation and Test in Europe, DATE 2011, Grenoble, France, March 14-18, 2011}, publisher = {IEEE}, isbn = {978-1-61284-208-0}, } @article{ShenLX21-0, title = {Combining Static and Dynamic Load Balance in Parallel Routing for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2021}, doi = {10.1109/TCAD.2020.3031259}, url = {https://doi.org/10.1109/TCAD.2020.3031259}, researchr = {https://researchr.org/publication/ShenLX21-0}, cites = {0}, citedby = {0}, journal = {IEEE Trans. on CAD of Integrated Circuits and Systems}, volume = {40}, number = {9}, pages = {1850-1863}, } @inproceedings{ShenXL17, title = {Dependency-Aware Parallel Routing for Large-Scale FPGAs}, author = {Minghua Shen and Nong Xiao and Guojie Luo}, year = {2017}, doi = {10.1109/ICCD.2017.45}, url = {http://doi.ieeecomputersociety.org/10.1109/ICCD.2017.45}, researchr = {https://researchr.org/publication/ShenXL17}, cites = {0}, citedby = {0}, pages = {249-256}, booktitle = {2017 IEEE International Conference on Computer Design, ICCD 2017, Boston, MA, USA, November 5-8, 2017}, publisher = {IEEE Computer Society}, isbn = {978-1-5386-2254-4}, } @inproceedings{JiangHYXLCLJ18, title = {Accelerating Mobile Applications at the Network Edge with Software-Programmable FPGAs}, author = {Shuang Jiang and Dong He and Chenxi Yang and Chenren Xu and Guojie Luo and Yang Chen and Yunlu Liu and Jiangwei Jiang}, year = {2018}, doi = {10.1109/INFOCOM.2018.8485850}, url = {https://doi.org/10.1109/INFOCOM.2018.8485850}, researchr = {https://researchr.org/publication/JiangHYXLCLJ18}, cites = {0}, citedby = {0}, pages = {55-62}, booktitle = {2018 IEEE Conference on Computer Communications, INFOCOM 2018, Honolulu, HI, USA, April 16-19, 2018}, publisher = {IEEE}, isbn = {978-1-5386-4128-6}, } @inproceedings{CongLWZ07, title = {Thermal-Aware 3D IC Placement Via Transformation}, author = {Jason Cong and Guojie Luo and Jie Wei and Yan Zhang}, year = {2007}, doi = {10.1109/ASPDAC.2007.358084}, url = {http://doi.ieeecomputersociety.org/10.1109/ASPDAC.2007.358084}, tags = {context-aware, transformation}, researchr = {https://researchr.org/publication/CongLWZ07}, cites = {0}, citedby = {0}, pages = {780-785}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007}, publisher = {IEEE}, } @inproceedings{GuoW0L21, title = {Formulating Data-arrival Synchronizers in Integer Linear Programming for CGRA Mapping}, author = {Yijiang Guo and Jiarui Wang and Jiaxi Zhang 0001 and Guojie Luo}, year = {2021}, doi = {10.1109/DAC18074.2021.9586267}, url = {https://doi.org/10.1109/DAC18074.2021.9586267}, researchr = {https://researchr.org/publication/GuoW0L21}, cites = {0}, citedby = {0}, pages = {943-948}, booktitle = {58th ACM/IEEE Design Automation Conference, DAC 2021, San Francisco, CA, USA, December 5-9, 2021}, publisher = {IEEE}, isbn = {978-1-6654-3274-0}, }