@inproceedings{ShinDLALNH11, title = {Floorplanning challenges in early chip planning}, author = {Jeonghee Shin and John A. Darringer and Guojie Luo and Merav Aharoni and Alexey Lvov and Gi-Joon Nam and Michael B. Healy}, year = {2011}, doi = {10.1109/SOCC.2011.6085096}, url = {http://dx.doi.org/10.1109/SOCC.2011.6085096}, researchr = {https://researchr.org/publication/ShinDLALNH11}, cites = {0}, citedby = {0}, pages = {388-393}, booktitle = {socc}, } @inproceedings{ShenL15-10, title = {Accelerate FPGA Routing with Parallel Recursive Partitioning}, author = {Minghua Shen and Guojie Luo}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2840836}, researchr = {https://researchr.org/publication/ShenL15-10}, cites = {0}, citedby = {0}, pages = {118-125}, booktitle = {iccad}, } @inproceedings{LuoJZ15, title = {A Fast and Simple Block-Based Approach for Common Path Pessimism Removal in Static Timing Analysis}, author = {Guojie Luo and Baihong Jin and Wentai Zhang}, year = {2015}, doi = {10.1109/CADGRAPHICS.2015.52}, url = {http://dx.doi.org/10.1109/CADGRAPHICS.2015.52}, researchr = {https://researchr.org/publication/LuoJZ15}, cites = {0}, citedby = {0}, pages = {234-235}, booktitle = {cadgraphics}, } @inproceedings{CongL08, title = {Highly efficient gradient computation for density-constrained analytical placement methods}, author = {Jason Cong and Guojie Luo}, year = {2008}, doi = {10.1145/1353629.1353639}, url = {http://doi.acm.org/10.1145/1353629.1353639}, researchr = {https://researchr.org/publication/CongL08}, cites = {0}, citedby = {0}, pages = {39-46}, booktitle = {ispd}, } @inproceedings{XieSWL18, title = {V-PIM: An Analytical Overhead Model for Processing-in-Memory Architectures}, author = {Peichen Xie and Guangyu Sun and Feng Wang and Guojie Luo}, year = {2018}, doi = {10.1109/NVMSA.2018.00026}, url = {https://doi.org/10.1109/NVMSA.2018.00026}, researchr = {https://researchr.org/publication/XieSWL18}, cites = {0}, citedby = {0}, pages = {107-108}, booktitle = {nvmsa}, } @article{GaoZYYLWBWL16, title = {Multi-Story Indoor Floor Plan Reconstruction via Mobile Crowdsensing}, author = {Ruipeng Gao and Mingmin Zhao and Tao Ye and Fan Ye and Guojie Luo and Yizhou Wang and Kaigui Bian and Tao Wang and Xiaoming Li}, year = {2016}, doi = {10.1109/TMC.2016.2550040}, url = {http://doi.ieeecomputersociety.org/10.1109/TMC.2016.2550040}, researchr = {https://researchr.org/publication/GaoZYYLWBWL16}, cites = {0}, citedby = {0}, journal = {tmc}, volume = {15}, number = {6}, pages = {1427-1442}, } @inproceedings{CongLL11, title = {A unified optimization framework for simultaneous gate sizing and placement under density constraints}, author = {Jason Cong and John Lee and Guojie Luo}, year = {2011}, doi = {10.1109/ISCAS.2011.5937786}, url = {http://dx.doi.org/10.1109/ISCAS.2011.5937786}, tags = {optimization, constraints}, researchr = {https://researchr.org/publication/CongLL11}, cites = {0}, citedby = {0}, pages = {1207-1210}, booktitle = {iscas}, } @inproceedings{ShenLX18, title = {Exploiting Box Expansion and Grid Partitioning for Parallel FPGA Routing}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2018}, doi = {10.1109/FCCM.2018.00043}, url = {http://doi.ieeecomputersociety.org/10.1109/FCCM.2018.00043}, researchr = {https://researchr.org/publication/ShenLX18}, cites = {0}, citedby = {0}, pages = {209}, booktitle = {fccm}, } @inproceedings{ShenL17-10, title = {Tiguan: Energy-aware collision-free control for large-scale connected vehicles}, author = {Minghua Shen and Guojie Luo}, year = {2017}, doi = {10.1109/ISLPED.2017.8009184}, url = {https://doi.org/10.1109/ISLPED.2017.8009184}, researchr = {https://researchr.org/publication/ShenL17-10}, cites = {0}, citedby = {0}, pages = {1-6}, booktitle = {islped}, } @inproceedings{ZhouLWL0L20, title = {Hardware-assisted Service Live Migration in Resource-limited Edge Computing Systems}, author = {Zhe Zhou and Xintong Li and Xiaoyang Wang 0006 and Zheng Liang and Guangyu Sun 0003 and Guojie Luo}, year = {2020}, doi = {10.1109/DAC18072.2020.9218677}, url = {https://doi.org/10.1109/DAC18072.2020.9218677}, researchr = {https://researchr.org/publication/ZhouLWL0L20}, cites = {0}, citedby = {0}, pages = {1-6}, booktitle = {dac}, } @inproceedings{ZhangZLW0C19, title = {Frequency Improvement of Systolic Array-Based CNNs on FPGAs}, author = {Jiaxi Zhang and Wentai Zhang and Guojie Luo and Xuechao Wei and Yun Liang 0001 and Jason Cong}, year = {2019}, doi = {10.1109/ISCAS.2019.8702071}, url = {https://doi.org/10.1109/ISCAS.2019.8702071}, researchr = {https://researchr.org/publication/ZhangZLW0C19}, cites = {0}, citedby = {0}, pages = {1-4}, booktitle = {iscas}, } @inproceedings{CongLTX13, title = {Optimizing routability in large-scale mixed-size placement}, author = {Jason Cong and Guojie Luo and Kalliopi Tsota and Bingjun Xiao}, year = {2013}, doi = {10.1109/ASPDAC.2013.6509636}, url = {http://dx.doi.org/10.1109/ASPDAC.2013.6509636}, researchr = {https://researchr.org/publication/CongLTX13}, cites = {0}, citedby = {0}, pages = {441-446}, booktitle = {aspdac}, } @inproceedings{XuLLSJ15, title = {Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging}, author = {Chang Xu and Peixin Li and Guojie Luo and Yiyu Shi and Iris Hui-Ru Jiang}, year = {2015}, doi = {10.1145/2717764.2717767}, url = {http://doi.acm.org/10.1145/2717764.2717767}, researchr = {https://researchr.org/publication/XuLLSJ15}, cites = {0}, citedby = {0}, pages = {93-100}, booktitle = {ispd}, } @inproceedings{HeH0BL18, title = {FPGA-Based Real-Time Super-Resolution System for Ultra High Definition Videos}, author = {Zhuolun He and Hanxian Huang and Ming Jiang 0001 and Yuanchao Bai and Guojie Luo}, year = {2018}, doi = {10.1109/FCCM.2018.00036}, url = {http://doi.ieeecomputersociety.org/10.1109/FCCM.2018.00036}, researchr = {https://researchr.org/publication/HeH0BL18}, cites = {0}, citedby = {0}, pages = {181-188}, booktitle = {fccm}, } @inproceedings{CongLS11, title = {Thermal-aware cell and through-silicon-via co-placement for 3D ICs}, author = {Jason Cong and Guojie Luo and Yiyu Shi}, year = {2011}, doi = {10.1145/2024724.2024876}, url = {http://doi.acm.org/10.1145/2024724.2024876}, researchr = {https://researchr.org/publication/CongLS11}, cites = {0}, citedby = {0}, pages = {670-675}, booktitle = {dac}, } @inproceedings{ZhouWL0XL20, title = {SaFace: Towards Scenario-aware Face Recognition via Edge Computing System}, author = {Zhe Zhou and Bingzhe Wu and Zheng Liang and Guangyu Sun 0003 and Chenren Xu and Guojie Luo}, year = {2020}, url = {https://www.usenix.org/conference/hotedge20/presentation/zhou-zhe}, researchr = {https://researchr.org/publication/ZhouWL0XL20}, cites = {0}, citedby = {0}, booktitle = {hotedge}, } @inproceedings{WangZZLZL20, title = {Dual-Output LUT Merging during FPGA Technology Mapping}, author = {Feng Wang and Liren Zhu and Jiaxi Zhang and Lei Li and Yang Zhang and Guojie Luo}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9256596}, researchr = {https://researchr.org/publication/WangZZLZL20}, cites = {0}, citedby = {0}, pages = {1-9}, booktitle = {iccad}, } @inproceedings{QiaoL0021, title = {FPGA-accelerated Iterative Reconstruction for Transmission Electron Tomography}, author = {Linjun Qiao and Guojie Luo and Wentai Zhang 0001 and Ming Jiang 0001}, year = {2021}, doi = {10.1109/FCCM51124.2021.00025}, url = {https://doi.org/10.1109/FCCM51124.2021.00025}, researchr = {https://researchr.org/publication/QiaoL0021}, cites = {0}, citedby = {0}, pages = {152-156}, booktitle = {fccm}, } @inproceedings{LiWZLWC12, title = {Memory partitioning and scheduling co-optimization in behavioral synthesis}, author = {Peng Li and Yuxin Wang and Peng Zhang and Guojie Luo and Tao Wang and Jason Cong}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=6386711}, researchr = {https://researchr.org/publication/LiWZLWC12}, cites = {0}, citedby = {0}, pages = {488-495}, booktitle = {iccad}, } @book{sbcs-GaoYLC18, title = {Smartphone-Based Indoor Map Construction - Principles and Applications}, author = {Ruipeng Gao and Fan Ye and Guojie Luo and Jason Cong}, year = {2018}, doi = {10.1007/978-981-10-8378-5}, url = {https://doi.org/10.1007/978-981-10-8378-5}, researchr = {https://researchr.org/publication/sbcs-GaoYLC18}, cites = {0}, citedby = {0}, series = {Springer Briefs in Computer Science}, publisher = {Springer}, isbn = {978-981-10-8377-8}, } @inproceedings{TsaiSLJ13, title = {FF-bond: multi-bit flip-flop bonding at placement}, author = {Chang-Cheng Tsai and Yiyu Shi and Guojie Luo and Iris Hui-Ru Jiang}, year = {2013}, doi = {10.1145/2451916.2451955}, url = {http://doi.acm.org/10.1145/2451916.2451955}, researchr = {https://researchr.org/publication/TsaiSLJ13}, cites = {0}, citedby = {0}, pages = {147-153}, booktitle = {ispd}, } @article{LiuYLSLWFZ19, title = {Data-Flow Graph Mapping Optimization for CGRA With Deep Reinforcement Learning}, author = {Dajiang Liu and Shouyi Yin and Guojie Luo and Jiaxing Shang and Leibo Liu and Shaojun Wei and Yong Feng and Shangbo Zhou}, year = {2019}, doi = {10.1109/TCAD.2018.2878183}, url = {https://doi.org/10.1109/TCAD.2018.2878183}, researchr = {https://researchr.org/publication/LiuYLSLWFZ19}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {38}, number = {12}, pages = {2271-2283}, } @article{XuLLSJ16, title = {Analytical Clustering Score with Application to Postplacement Register Clustering}, author = {Chang Xu and Guojie Luo and Peixin Li and Yiyu Shi and Iris Hui-Ru Jiang}, year = {2016}, doi = {10.1145/2894753}, url = {http://doi.acm.org/10.1145/2894753}, researchr = {https://researchr.org/publication/XuLLSJ16}, cites = {0}, citedby = {0}, journal = {todaes}, volume = {21}, number = {3}, pages = {41}, } @inproceedings{TangL019, title = {FTConv: FPGA Acceleration for Transposed Convolution Layers in Deep Neural Networks}, author = {Zhucheng Tang and Guojie Luo and Ming Jiang 0001}, year = {2019}, doi = {10.1145/3289602.3293976}, url = {https://doi.org/10.1145/3289602.3293976}, researchr = {https://researchr.org/publication/TangL019}, cites = {0}, citedby = {0}, pages = {189}, booktitle = {fpga}, } @article{WangLSZKWNZ21, title = {STAR: Synthesis of Stateful Logic in RRAM Targeting High Area Utilization}, author = {Feng Wang and Guojie Luo and Guangyu Sun 0003 and Jiaxi Zhang and JinFeng Kang and Yuhao Wang and Dimin Niu and Hongzhong Zheng}, year = {2021}, doi = {10.1109/TCAD.2020.3015465}, url = {https://doi.org/10.1109/TCAD.2020.3015465}, researchr = {https://researchr.org/publication/WangLSZKWNZ21}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {40}, number = {5}, pages = {864-877}, } @inproceedings{Li0ZLLLJ18, title = {cuMBIR: An Efficient Framework for Low-dose X-ray CT Image Reconstruction on GPUs}, author = {Xiuhong Li and Yun Liang 0001 and Wentai Zhang and Taide Liu and Haochen Li and Guojie Luo and Ming Jiang 0001}, year = {2018}, doi = {10.1145/3205289.3205309}, url = {https://doi.org/10.1145/3205289.3205309}, researchr = {https://researchr.org/publication/Li0ZLLLJ18}, cites = {0}, citedby = {0}, pages = {184-194}, booktitle = {ics}, } @inproceedings{GaoLY16, title = {VeMap: Indoor Road Map Construction via Smartphone-Based Vehicle Tracking}, author = {Ruipeng Gao and Guojie Luo and Fan Ye}, year = {2016}, doi = {10.1109/GLOCOM.2016.7842373}, url = {http://dx.doi.org/10.1109/GLOCOM.2016.7842373}, researchr = {https://researchr.org/publication/GaoLY16}, cites = {0}, citedby = {0}, pages = {1-6}, booktitle = {globecom}, } @inproceedings{ShenL17-1, title = {Corolla: GPU-Accelerated FPGA Routing Based on Subgraph Dynamic Expansion}, author = {Minghua Shen and Guojie Luo}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021732}, researchr = {https://researchr.org/publication/ShenL17-1}, cites = {0}, citedby = {0}, pages = {105-114}, booktitle = {fpga}, } @inproceedings{JinLZ16-1, title = {A fast and accurate approach for common path pessimism removal in static timing analysis}, author = {Baihong Jin and Guojie Luo and Wentai Zhang}, year = {2016}, doi = {10.1109/ISCAS.2016.7539131}, url = {http://dx.doi.org/10.1109/ISCAS.2016.7539131}, researchr = {https://researchr.org/publication/JinLZ16-1}, cites = {0}, citedby = {0}, pages = {2623-2626}, booktitle = {iscas}, } @inproceedings{WangZWZL17, title = {Search space reduction for the non-exact projective NPNP Boolean matching problem}, author = {Feng Wang and Jiaxi Zhang and Lange Wu and Wentai Zhang and Guojie Luo}, year = {2017}, doi = {10.1109/ISCAS.2017.8050970}, url = {https://doi.org/10.1109/ISCAS.2017.8050970}, researchr = {https://researchr.org/publication/WangZWZL17}, cites = {0}, citedby = {0}, pages = {1-4}, booktitle = {iscas}, } @inproceedings{ZhangHZ0L18, title = {Adaptive-precision framework for SGD using deep Q-learning}, author = {Wentai Zhang and Hanxian Huang and Jiaxi Zhang and Ming Jiang 0001 and Guojie Luo}, year = {2018}, doi = {10.1145/3240765.3240774}, url = {https://doi.org/10.1145/3240765.3240774}, researchr = {https://researchr.org/publication/ZhangHZ0L18}, cites = {0}, citedby = {0}, pages = {35}, booktitle = {iccad}, } @inproceedings{WenL19-1, title = {An Analytical Method of Automatic Alignment for Electron Tomography}, author = {Shuang Wen and Guojie Luo}, year = {2019}, doi = {10.1007/978-3-030-33642-4_12}, url = {https://doi.org/10.1007/978-3-030-33642-4_12}, researchr = {https://researchr.org/publication/WenL19-1}, cites = {0}, citedby = {0}, pages = {106-114}, booktitle = {miccai}, } @inproceedings{CongL09, title = {A multilevel analytical placement for 3D ICs}, author = {Jason Cong and Guojie Luo}, year = {2009}, doi = {10.1145/1509633.1509725}, url = {http://doi.acm.org/10.1145/1509633.1509725}, researchr = {https://researchr.org/publication/CongL09}, cites = {0}, citedby = {0}, pages = {361-366}, booktitle = {aspdac}, } @article{CongLR08, title = {Highly Efficient Gradient Computation for Density-Constrained Analytical Placement}, author = {Jason Cong and Guojie Luo and E. Radke}, year = {2008}, doi = {10.1109/TCAD.2008.2006158}, url = {http://dx.doi.org/10.1109/TCAD.2008.2006158}, tags = {e-science}, researchr = {https://researchr.org/publication/CongLR08}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {27}, number = {12}, pages = {2133-2144}, } @inproceedings{MaL21-7, title = {TOCO: A Systolic Network for Efficient Transposed Convolutions with Output-Reuse Paths}, author = {Zhengzheng Ma and Guojie Luo}, year = {2021}, doi = {10.1109/FCCM51124.2021.00060}, url = {https://doi.org/10.1109/FCCM51124.2021.00060}, researchr = {https://researchr.org/publication/MaL21-7}, cites = {0}, citedby = {0}, pages = {275}, booktitle = {fccm}, } @inproceedings{ThorolfssonLCF10, title = {Logic-on-logic 3D integration and placement}, author = {Thorlindur Thorolfsson and Guojie Luo and Jason Cong and Paul D. Franzon}, year = {2010}, doi = {10.1109/3DIC.2010.5751451}, url = {http://dx.doi.org/10.1109/3DIC.2010.5751451}, tags = {logic}, researchr = {https://researchr.org/publication/ThorolfssonLCF10}, cites = {0}, citedby = {0}, pages = {1-4}, booktitle = {3dic}, } @inproceedings{Luo11-10, title = {Physical hierarchy exploration of 3D processors}, author = {Guojie Luo}, year = {2011}, doi = {10.1109/ISOCC.2011.6138666}, url = {http://dx.doi.org/10.1109/ISOCC.2011.6138666}, researchr = {https://researchr.org/publication/Luo11-10}, cites = {0}, citedby = {0}, pages = {139-141}, booktitle = {isocc}, } @inproceedings{ZhaoGZYYWBLZ14, title = {VeLoc: finding your car in the parking lot}, author = {Mingmin Zhao and Ruipeng Gao and Jiaxu Zhu and Tao Ye and Fan Ye and Yizhou Wang and Kaigui Bian and Guojie Luo and Ming Zhang}, year = {2014}, doi = {10.1145/2668332.2668357}, url = {http://doi.acm.org/10.1145/2668332.2668357}, researchr = {https://researchr.org/publication/ZhaoGZYYWBLZ14}, cites = {0}, citedby = {0}, pages = {346-347}, booktitle = {sensys}, } @article{GaoZYYWL17, title = {Smartphone-Based Real Time Vehicle Tracking in Indoor Parking Structures}, author = {Ruipeng Gao and Mingmin Zhao and Tao Ye and Fan Ye and Yizhou Wang and Guojie Luo}, year = {2017}, doi = {10.1109/TMC.2017.2684167}, url = {http://doi.ieeecomputersociety.org/10.1109/TMC.2017.2684167}, researchr = {https://researchr.org/publication/GaoZYYWL17}, cites = {0}, citedby = {0}, journal = {tmc}, volume = {16}, number = {7}, pages = {2023-2036}, } @inproceedings{HeL17-1, title = {FPGA Acceleration for Computational Glass-Free Displays}, author = {Zhuolun He and Guojie Luo}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021728}, researchr = {https://researchr.org/publication/HeL17-1}, cites = {0}, citedby = {0}, pages = {267-274}, booktitle = {fpga}, } @article{ShenLX19, title = {Exploring GPU-Accelerated Routing for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2019}, doi = {10.1109/TPDS.2018.2885745}, url = {https://doi.org/10.1109/TPDS.2018.2885745}, researchr = {https://researchr.org/publication/ShenLX19}, cites = {0}, citedby = {0}, journal = {tpds}, volume = {30}, number = {6}, pages = {1331-1345}, } @inproceedings{ShenLX17, title = {A coordinated synchronous and asynchronous parallel routing approach for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2017}, doi = {10.1109/ICCAD.2017.8203829}, url = {https://doi.org/10.1109/ICCAD.2017.8203829}, researchr = {https://researchr.org/publication/ShenLX17}, cites = {0}, citedby = {0}, pages = {577-584}, booktitle = {iccad}, } @article{ShenLX21, title = {Coarse-Grained Parallel Routing With Recursive Partitioning for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2021}, doi = {10.1109/TPDS.2020.3035787}, url = {https://doi.org/10.1109/TPDS.2020.3035787}, researchr = {https://researchr.org/publication/ShenLX21}, cites = {0}, citedby = {0}, journal = {tpds}, volume = {32}, number = {4}, pages = {884-899}, } @inproceedings{ShiTL019, title = {Winograd-Based Real-Time Super-Resolution System on FPGA}, author = {Bizhao Shi and Zhucheng Tang and Guojie Luo and Ming Jiang 0001}, year = {2019}, doi = {10.1109/ICFPT47387.2019.00083}, url = {https://doi.org/10.1109/ICFPT47387.2019.00083}, researchr = {https://researchr.org/publication/ShiTL019}, cites = {0}, citedby = {0}, pages = {423-426}, booktitle = {icfpt}, } @inproceedings{Zhang0L20-0, title = {Evaluating Low-Memory GEMMs for Convolutional Neural Network Inference on FPGAs}, author = {Wentai Zhang and Ming Jiang 0001 and Guojie Luo}, year = {2020}, doi = {10.1109/FCCM48280.2020.00013}, url = {https://doi.org/10.1109/FCCM48280.2020.00013}, researchr = {https://researchr.org/publication/Zhang0L20-0}, cites = {0}, citedby = {0}, pages = {28-32}, booktitle = {fccm}, } @article{GaoTYLBWWL16, title = {Sextant: Towards Ubiquitous Indoor Localization Service by Photo-Taking of the Environment}, author = {Ruipeng Gao and Yang Tian and Fan Ye and Guojie Luo and Kaigui Bian and Yizhou Wang and Tao Wang and Xiaoming Li}, year = {2016}, doi = {10.1109/TMC.2015.2418205}, url = {http://doi.ieeecomputersociety.org/10.1109/TMC.2015.2418205}, researchr = {https://researchr.org/publication/GaoTYLBWWL16}, cites = {0}, citedby = {0}, journal = {tmc}, volume = {15}, number = {2}, pages = {460-474}, } @inproceedings{XuZL14-3, title = {Analyzing the impact of heterogeneous blocks on FPGA placement quality}, author = {Chang Xu and Wentai Zhang and Guojie Luo}, year = {2014}, doi = {10.1109/FPT.2014.7082750}, url = {http://dx.doi.org/10.1109/FPT.2014.7082750}, researchr = {https://researchr.org/publication/XuZL14-3}, cites = {0}, citedby = {0}, pages = {36-43}, booktitle = {fpt}, } @inproceedings{WenL20-0, title = {FPGA-accelerated Automatic Alignment for Three-dimensional Tomography}, author = {Shuang Wen and Guojie Luo}, year = {2020}, doi = {10.1109/FCCM48280.2020.00031}, url = {https://doi.org/10.1109/FCCM48280.2020.00031}, researchr = {https://researchr.org/publication/WenL20-0}, cites = {0}, citedby = {0}, pages = {172-176}, booktitle = {fccm}, } @article{WangLSWNZ21, title = {Area Efficient Pattern Representation of Binary Neural Networks on RRAM}, author = {Feng Wang 0046 and Guojie Luo and Guang-Yu Sun 0003 and Yuhao Wang and Dimin Niu and Hongzhong Zheng}, year = {2021}, doi = {10.1007/s11390-021-0906-y}, url = {https://doi.org/10.1007/s11390-021-0906-y}, researchr = {https://researchr.org/publication/WangLSWNZ21}, cites = {0}, citedby = {0}, journal = {jcst}, volume = {36}, number = {5}, pages = {1155-1166}, } @inproceedings{LuoZZC16, title = {Scaling Up Physical Design: Challenges and Opportunities}, author = {Guojie Luo and Wentai Zhang and Jiaxi Zhang and Jason Cong}, year = {2016}, doi = {10.1145/2872334.2872342}, url = {http://doi.acm.org/10.1145/2872334.2872342}, researchr = {https://researchr.org/publication/LuoZZC16}, cites = {0}, citedby = {0}, pages = {131-137}, booktitle = {ispd}, } @inproceedings{ZhangWSSLC16, title = {Energy-Efficient CNN Implementation on a Deeply Pipelined FPGA Cluster}, author = {Chen Zhang and Di Wu and Jiayu Sun and Guangyu Sun and Guojie Luo and Jason Cong}, year = {2016}, doi = {10.1145/2934583.2934644}, url = {http://doi.acm.org/10.1145/2934583.2934644}, researchr = {https://researchr.org/publication/ZhangWSSLC16}, cites = {0}, citedby = {0}, pages = {326-331}, booktitle = {islped}, } @inproceedings{ShenL17-7, title = {Megrez: Parallelizing FPGA Routing with Strictly-Ordered Partitioning}, author = {Minghua Shen and Guojie Luo}, year = {2017}, doi = {10.1109/FCCM.2017.18}, url = {https://doi.org/10.1109/FCCM.2017.18}, researchr = {https://researchr.org/publication/ShenL17-7}, cites = {0}, citedby = {0}, pages = {27}, booktitle = {fccm}, } @article{LuoSC13, title = {An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness}, author = {Guojie Luo and Yiyu Shi and Jason Cong}, year = {2013}, doi = {10.1109/TCAD.2012.2232708}, url = {http://dx.doi.org/10.1109/TCAD.2012.2232708}, researchr = {https://researchr.org/publication/LuoSC13}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {32}, number = {4}, pages = {510-523}, } @article{CongL10-4, title = {Advances and Challenges in 3D Physical Design}, author = {Jason Cong and Guojie Luo}, year = {2010}, doi = {10.2197/ipsjtsldm.3.2}, url = {http://dx.doi.org/10.2197/ipsjtsldm.3.2}, researchr = {https://researchr.org/publication/CongL10-4}, cites = {0}, citedby = {0}, journal = {ipsj}, volume = {3}, pages = {2-18}, } @inproceedings{QiaoL0020, title = {FPGA Acceleration of Ray-Based Iterative Algorithm for 3D Low-Dose CT Reconstruction}, author = {Linjun Qiao and Guojie Luo and Wentai Zhang 0001 and Ming Jiang 0001}, year = {2020}, doi = {10.1109/FPL50879.2020.00026}, url = {https://doi.org/10.1109/FPL50879.2020.00026}, researchr = {https://researchr.org/publication/QiaoL0020}, cites = {0}, citedby = {0}, pages = {98-102}, booktitle = {fpl}, } @inproceedings{CongL10, title = {An analytical placer for mixed-size 3D placement}, author = {Jason Cong and Guojie Luo}, year = {2010}, doi = {10.1145/1735023.1735044}, url = {http://doi.acm.org/10.1145/1735023.1735044}, researchr = {https://researchr.org/publication/CongL10}, cites = {0}, citedby = {0}, pages = {61-66}, booktitle = {ispd}, } @article{0001QHC0L21, title = {FPGA Acceleration for 3-D Low-Dose Tomographic Reconstruction}, author = {Wentai Zhang 0001 and Linjun Qiao and William Hsu and Yong Cui and Ming Jiang 0001 and Guojie Luo}, year = {2021}, doi = {10.1109/TCAD.2020.3006183}, url = {https://doi.org/10.1109/TCAD.2020.3006183}, researchr = {https://researchr.org/publication/0001QHC0L21}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {40}, number = {4}, pages = {666-679}, } @inproceedings{ZhaoYGYWL15, title = {VeTrack: Real Time Vehicle Tracking in Uninstrumented Indoor Environments}, author = {Mingmin Zhao and Tao Ye and Ruipeng Gao and Fan Ye and Yizhou Wang and Guojie Luo}, year = {2015}, doi = {10.1145/2809695.2809726}, url = {http://doi.acm.org/10.1145/2809695.2809726}, researchr = {https://researchr.org/publication/ZhaoYGYWL15}, cites = {0}, citedby = {0}, pages = {99-112}, booktitle = {sensys}, } @inproceedings{ZhangZSLX19, title = {An Efficient Mapping Approach to Large-Scale DNNs on Multi-FPGA Architectures}, author = {Wentai Zhang and Jiaxi Zhang and Minghua Shen and Guojie Luo and Nong Xiao}, year = {2019}, doi = {10.23919/DATE.2019.8715174}, url = {https://doi.org/10.23919/DATE.2019.8715174}, researchr = {https://researchr.org/publication/ZhangZSLX19}, cites = {0}, citedby = {0}, pages = {1241-1244}, booktitle = {date}, } @inproceedings{WangL0ZHK19, title = {Parallel Stateful Logic in RRAM: Theoretical Analysis and Arithmetic Design}, author = {Feng Wang and Guojie Luo and Guangyu Sun 0003 and Jiaxi Zhang and Peng Huang and JinFeng Kang}, year = {2019}, doi = {10.1109/ASAP.2019.000-8}, url = {https://doi.org/10.1109/ASAP.2019.000-8}, researchr = {https://researchr.org/publication/WangL0ZHK19}, cites = {0}, citedby = {0}, pages = {157-164}, booktitle = {asap}, } @inproceedings{DengLLS14, title = {Rapid design space exploration of two-level unified caches}, author = {Jingyu Deng and Yun Liang and Guojie Luo and Guangyu Sun}, year = {2014}, doi = {10.1109/ISCAS.2014.6865540}, url = {http://dx.doi.org/10.1109/ISCAS.2014.6865540}, researchr = {https://researchr.org/publication/DengLLS14}, cites = {0}, citedby = {0}, pages = {1937-1940}, booktitle = {iscas}, } @article{ShenLX20, title = {EEPC: A Framework for Energy-Efficient Parallel Control of Connected Cars}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2020}, doi = {10.1109/TPDS.2019.2930500}, url = {https://doi.org/10.1109/TPDS.2019.2930500}, researchr = {https://researchr.org/publication/ShenLX20}, cites = {0}, citedby = {0}, journal = {tpds}, volume = {31}, number = {1}, pages = {64-79}, } @inproceedings{LiPLZWZMJC14, title = {FPGA Acceleration for Simultaneous Medical Image Reconstruction and Segmentation}, author = {Peng Li and Thomas Page and Guojie Luo and Wentai Zhang and Pei Wang and Peng Zhang and Peter Maass and Ming Jiang and Jason Cong}, year = {2014}, doi = {10.1109/FCCM.2014.54}, url = {http://dx.doi.org/10.1109/FCCM.2014.54}, researchr = {https://researchr.org/publication/LiPLZWZMJC14}, cites = {0}, citedby = {0}, pages = {172}, booktitle = {fccm}, } @inproceedings{ZhouSZG0L21, title = {BlockGNN: Towards Efficient GNN Acceleration Using Block-Circulant Weight Matrices}, author = {Zhe Zhou and Bizhao Shi and Zhe Zhang and Yijin Guan and Guangyu Sun 0003 and Guojie Luo}, year = {2021}, doi = {10.1109/DAC18074.2021.9586181}, url = {https://doi.org/10.1109/DAC18074.2021.9586181}, researchr = {https://researchr.org/publication/ZhouSZG0L21}, cites = {0}, citedby = {0}, pages = {1009-1014}, booktitle = {dac}, } @inproceedings{CongLLP12, title = {Towards layout-friendly high-level synthesis}, author = {Jason Cong and Bin Liu 0006 and Guojie Luo and Raghu Prabhakar}, year = {2012}, doi = {10.1145/2160916.2160952}, url = {http://doi.acm.org/10.1145/2160916.2160952}, researchr = {https://researchr.org/publication/CongLLP12}, cites = {0}, citedby = {0}, pages = {165-172}, booktitle = {ispd}, } @inproceedings{ZhangYLZYLS21, title = {An FPGA-Based Neural Network Overlay for ADAS Supporting Multi-Model and Multi-Mode}, author = {Jiaxi Zhang and Tao Yang and Qingzheng Li and Bo Zhou and Yang Yang and Guojie Luo and Jianping Shi}, year = {2021}, doi = {10.1109/ISCAS51556.2021.9401751}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401751}, researchr = {https://researchr.org/publication/ZhangYLZYLS21}, cites = {0}, citedby = {0}, pages = {1-5}, booktitle = {iscas}, } @inproceedings{XuLZYLZ17, title = {A Parallel Bandit-Based Approach for Autotuning FPGA Compilation}, author = {Chang Xu and Gai Liu and Ritchie Zhao and Stephen Yang and Guojie Luo and Zhiru Zhang}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3021747}, researchr = {https://researchr.org/publication/XuLZYLZ17}, cites = {0}, citedby = {0}, pages = {157-166}, booktitle = {fpga}, } @article{ShenZLX20, title = {Serial-Equivalent Static and Dynamic Parallel Routing for FPGAs}, author = {Minghua Shen and Wentai Zhang and Guojie Luo and Nong Xiao}, year = {2020}, doi = {10.1109/TCAD.2018.2887050}, url = {https://doi.org/10.1109/TCAD.2018.2887050}, researchr = {https://researchr.org/publication/ShenZLX20}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {39}, number = {2}, pages = {411-423}, } @inproceedings{ShinDLWJ11, title = {Early chip planning cockpit}, author = {Jeonghee Shin and John A. Darringer and Guojie Luo and Alan J. Weger and C. L. Johnson}, year = {2011}, url = {http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5763292}, researchr = {https://researchr.org/publication/ShinDLWJ11}, cites = {0}, citedby = {0}, pages = {863-866}, booktitle = {date}, } @article{ShenLX21-0, title = {Combining Static and Dynamic Load Balance in Parallel Routing for FPGAs}, author = {Minghua Shen and Guojie Luo and Nong Xiao}, year = {2021}, doi = {10.1109/TCAD.2020.3031259}, url = {https://doi.org/10.1109/TCAD.2020.3031259}, researchr = {https://researchr.org/publication/ShenLX21-0}, cites = {0}, citedby = {0}, journal = {tcad}, volume = {40}, number = {9}, pages = {1850-1863}, } @inproceedings{ShenXL17, title = {Dependency-Aware Parallel Routing for Large-Scale FPGAs}, author = {Minghua Shen and Nong Xiao and Guojie Luo}, year = {2017}, doi = {10.1109/ICCD.2017.45}, url = {http://doi.ieeecomputersociety.org/10.1109/ICCD.2017.45}, researchr = {https://researchr.org/publication/ShenXL17}, cites = {0}, citedby = {0}, pages = {249-256}, booktitle = {iccd}, } @inproceedings{JiangHYXLCLJ18, title = {Accelerating Mobile Applications at the Network Edge with Software-Programmable FPGAs}, author = {Shuang Jiang and Dong He and Chenxi Yang and Chenren Xu and Guojie Luo and Yang Chen and Yunlu Liu and Jiangwei Jiang}, year = {2018}, doi = {10.1109/INFOCOM.2018.8485850}, url = {https://doi.org/10.1109/INFOCOM.2018.8485850}, researchr = {https://researchr.org/publication/JiangHYXLCLJ18}, cites = {0}, citedby = {0}, pages = {55-62}, booktitle = {infocom}, } @inproceedings{CongLWZ07, title = {Thermal-Aware 3D IC Placement Via Transformation}, author = {Jason Cong and Guojie Luo and Jie Wei and Yan Zhang}, year = {2007}, doi = {10.1109/ASPDAC.2007.358084}, url = {http://doi.ieeecomputersociety.org/10.1109/ASPDAC.2007.358084}, tags = {context-aware, transformation}, researchr = {https://researchr.org/publication/CongLWZ07}, cites = {0}, citedby = {0}, pages = {780-785}, booktitle = {aspdac}, } @inproceedings{GuoW0L21, title = {Formulating Data-arrival Synchronizers in Integer Linear Programming for CGRA Mapping}, author = {Yijiang Guo and Jiarui Wang and Jiaxi Zhang 0001 and Guojie Luo}, year = {2021}, doi = {10.1109/DAC18074.2021.9586267}, url = {https://doi.org/10.1109/DAC18074.2021.9586267}, researchr = {https://researchr.org/publication/GuoW0L21}, cites = {0}, citedby = {0}, pages = {943-948}, booktitle = {dac}, }