Journal: IEEE Micro

Volume 42, Issue 6

4 -- 5Lizy Kurian John. Artificial Intelligence at the Edge: Designs and Architectures for Pervasive Intelligence
6 -- 8Gabriel Falcão 0001, Joseph R. Cavallaro. Special Issue on Artificial Intelligence at the Edge
9 -- 16Sébastien Ollivier, Xinyi Zhang, Yue Tang, Chayanika Choudhuri, Jingtong Hu, Alex K. Jones. Pod-racing: bulk-bitwise to floating-point compute in racetrack memory for machine learning at the edge
17 -- 24Cecilia De la Parra, Taha Soliman, Andre Guntoro, Akash Kumar 0001, Norbert Wehn. Increasing Throughput of In-Memory DNN Accelerators by Flexible Layerwise DNN Approximation
25 -- 38Geraldo F. Oliveira, Juan Gómez-Luna, Saugata Ghose, Amirali Boroumand, Onur Mutlu. Accelerating Neural Network Inference With Processing-in-DRAM: From the Edge to the Cloud
39 -- 47Mahadev Satyanarayanan, Ziqiang Feng, Shilpa Anna George, Jan Harkes, Roger Iyengar, Haithem Turki, Padmanabhan Pillai. Accelerating Silent Witness Storage
48 -- 54Flavio Ponzina, Simone Machetti, Marco Rios, Benoît Walter Denkinger, Alexandre Levisse, Giovanni Ansaloni, Miguel Peón Quirós, David Atienza. A Hardware/Software Co-Design Vision for Deep Learning at the Edge
55 -- 66José L. Núñez-Yáñez. Fused Architecture for Dense and Sparse Matrix Processing in TensorFlow Lite
67 -- 75Esteban Garzón, Adam Teman, Marco Lanuzza, Leonid Yavits. AIDA: Associative In-Memory Deep Learning Accelerator
76 -- 87Chuteng Zhou, Fernando García-Redondo, Julian Büchel, Irem Boybat, Xavier Timoneda Comas, S. R. Nandakumar, Shidhartha Das, Abu Sebastian, Manuel Le Gallo, Paul N. Whatmough. ML-HW Co-Design of Noise-Robust TinyML Models and Always-On Analog Compute-in-Memory Edge Accelerator
88 -- 95Ali Safa, Jonah Van Assche, Mark Daniel Alea, Francky Catthoor, Georges G. E. Gielen. Neuromorphic Near-Sensor Computing: From Event-Based Sensing to Edge Learning
96 -- 106Hassan Nahas, Sean Huver, Billy Y. S. Yiu, Chris M. Kallweit, Adrian J. Y. Chee, Alfred C. H. Yu. Artificial-Intelligence-Enhanced Ultrasound Flow Imaging at the Edge
107 -- 114Aidin Shiri, Mozhgan Navardi, Tejaswini Manjunath, Nicholas R. Waytowich, Tinoosh Mohsenin. Efficient Language-Guided Reinforcement Learning for Resource-Constrained Autonomous Systems
116 -- 124Lita Yang, Robert M. Radway, Yu-Hsin Chen, Tony F. Wu, Huichu Liu, Elnaz Ansari, Vikas Chandra, Subhasish Mitra, Edith Beigné. Three-Dimensional Stacked Neural Network Accelerator Architectures for AR/VR Applications
125 -- 133Felix Jentzsch, Yaman Umuroglu, Alessandro Pappalardo, Michaela Blott, Marco Platzner. RadioML Meets FINN: Enabling Future RF Applications With FPGA Streaming Architectures
135 -- 140Joshua J. Yi. Analysis of Historical Patenting Behavior and Patent Characteristics of Computer Architecture Companies - Part V: References
142 -- 144Shane Greenstein. Distributed Discretion by the Slice

Volume 42, Issue 5

4 -- 5Lizy Kurian John. Automatic Compilation Will Be Key for Success of the Accelerator Revolution!
6 -- 8Guido Araujo, Lucas Wanner 0001. Special Issue on Compiling for Accelerators
9 -- 16Hsin-I Cindy Liu, Marius Brehler, Mahesh Ravishankar, Nicolas Vasilache, Ben Vanik, Stella Laurenzo. TinyIREE: An ML Execution Environment for Embedded Systems From Compilation to Deployment
17 -- 33Thien Nguyen, Alexander McCaskey. Retargetable Optimizing Compilers for Quantum Accelerators via a Multilevel Intermediate Representation
34 -- 40João P. L. de Carvalho, José E. Moreira, José Nelson Amaral. Compiling for the IBM Matrix Engine for Enterprise Workloads
41 -- 48Neil Adit, Adrian Sampson. Performance Left on the Table: An Evaluation of Compiler Autovectorization for RISC-V
49 -- 58Nuno Neves 0002, Joao Mario Domingos, Nuno Roma, Pedro Tomás, Gabriel Falcão 0001. Compiling for Vector Extensions With Stream-Based Specialization
59 -- 69Jian Weng 0002, Sihao Liu, Dylan Kupsh, Tony Nowatzki. Unifying Spatial Accelerator Compilation With Idiomatic and Modular Transformations
70 -- 77Kevin Angstadt, Tommy Tracy II, Kevin Skadron, Jean-Baptiste Jeannin, Westley Weimer. Synthesizing Legacy String Code for FPGAs Using Bounded Automata Learning
78 -- 88Nicolas Bohm Agostini, Serena Curzel, Jeff Jun Zhang, Ankur Limaye, Cheng Tan 0002, Vinay Amatya, Marco Minutoli, Vito Giovanni Castellana, Joseph B. Manzano, David Brooks 0001, Gu-Yeon Wei, Antonino Tumeo. Bridging Python to Silicon: The SODA Toolchain
89 -- 98Joon Kyung Kim, Byung Hoon Ahn, Sean Kinzer, Soroush Ghodrati, Rohan Mahapatra, Brahmendra Reddy Yatham, Shu-Ting Wang, Dohee Kim, Parisa Sarikhani, Babak Mahmoudi, Divya Mahajan, Jongse Park, Hadi Esmaeilzadeh. Yin-Yang: Programming Abstractions for Cross-Domain Multi-Acceleration
99 -- 107Jean-Michel Gorius, Simon Rokicki, Steven Derrien. SpecHLS: Speculative Accelerator Design Using High-Level Synthesis
108 -- 117Adel Ejjeh, Aaron Councilman, Akash Kothari, Maria Kotsifakou, Leon Medvinsky, Abdul Rafae Noor, Hashim Sharif, Yifan Zhao, Sarita V. Adve, Sasa Misailovic, Vikram S. Adve. HPVM: Hardware-Agnostic Programming for Heterogeneous Parallel Systems
119 -- 127Joshua J. Yi. Analysis of Historical Patenting Behavior and Patent Characteristics of Computer Architecture Companies - Part IV: Claims
130 -- 132Shane Greenstein. Archetypes of Risky Decisions

Volume 42, Issue 4

4 -- 5Lizy Kurian John. Top Picks from 2021 Computer Architecture Conferences!
6 -- 9Sudhanva Gurumurthi, Radu Teodorescu. Special Issue on Top Picks From the 2021 Computer Architecture Conferences
10 -- 17Pulkit A. Misra, Ioannis Manousakis, Esha Choukse, Majid Jalili 0004, Iñigo Goiri, Ashish Raniwala, Brijesh Warrier, Husam Alissa, Bharath Ramakrishnan, Phillip Tuma, Christian Belady, Marcus Fontoura, Ricardo Bianchini. Overclocking in Immersion-Cooled Datacenters
18 -- 26Parthasarathy Ranganathan, Daniel Stodolsky, Jeff Calow, Jeremy Dorfman, Marisabel Guevara, Clinton Wills Smullen IV, Aki Kuusela. Warehouse-Scale Video Acceleration
27 -- 36Yu Gan 0002, Mingyu Liang, Sundar Dev, David Lo 0003, Christina Delimitrou. Practical and Scalable ML-Driven Cloud Performance Debugging With Sage
37 -- 47Udit Gupta, Young-geun Kim, Sylvia Lee, Jordan Tse, Hsien-Hsin S. Lee, Gu-Yeon Wei, David Brooks 0001, Carole-Jean Wu. Chasing Carbon: The Elusive Environmental Footprint of Computing
59 -- 68Axel Feldmann, Nikola Samardzic, Aleksandar Krastev, Srinivas Devadas, Ronald G. Dreslinski, Chris Peikert, Daniel Sánchez 0003. An Architecture to Accelerate Computation on Encrypted Data
69 -- 77Michael B. Sullivan 0001, Nirmal R. Saxena, Mike O'Connor, Donghyuk Lee, Paul Racunas, Saurabh Hukerikar, Timothy Tsai 0002, Siva Kumar Sastry Hari, Stephen W. Keckler. Characterizing and Mitigating Soft Errors in GPU DRAM
78 -- 86Vasileios Tsoutsouras, Orestis Kaparounakis, Chatura Samarakoon, Bilgesu Arif Bilgin, James Timothy Meech, Jan Heck, Phillip Stanley-Marbell. The Laplace Microarchitecture for Tracking Data Uncertainty
97 -- 106Muhammad Huzaifa, Rishi Desai, Samuel Grayson, Xutao Jiang, Ying Jing, Jae Lee, Fang Lu, Yihan Pang, Joseph Ravichandran, Finn Sinclair, Boyuan Tian, Hengzhi Yuan, Jeffrey Zhang, Sarita V. Adve. ILLIXR: An Open Testbed to Enable Extended Reality Systems Research
107 -- 115Apostolos Kokolis, Antonis Psistakis, Benjamin Reidys, Jian Huang 0006, Josep Torrellas. Distributed Data Persistency
116 -- 123Ajeya Naithani, Sam Ainsworth 0001, Timothy M. Jones 0001, Lieven Eeckhout. Vector Runahead for Indirect Memory Accesses
124 -- 132Joshua J. Yi. Analysis of Historical Patenting Behavior and Patent Characteristics of Computer Architecture Companies - Part III: Claims
134 -- 136Shane Greenstein. Inflation and Technology Markets

Volume 42, Issue 3

4 -- 5Lizy Kurian John. Hot Chips 33 and More!
6 -- 0Alisa Scherer, Guri Sohi. Special Issue on Hot Chips 33
7 -- 12Mark Evers, Leslie Barnes, Mike Clark. The AMD Next-Generation "Zen 3" Core
13 -- 19Efraim Rotem, Adi Yoaz, Lihu Rappoport, Stephen J. Robinson, Julius Yuli Mandelblat, Arik Gihon, Eliezer Weissmann, Rajshree Chabukswar, Vadim Basin, Russell Fenger, Monica Gupta, Ahmad Yasin. Intel Alder Lake CPU Architectures
20 -- 30Jin Hyun Kim, Shinhaeng Kang, Sukhan Lee 0002, Hyeonsu Kim, Yuhwan Ro, SeungWon Lee, David Wang 0003, JiHyun Choi, Jinin So, YeonGon Cho, Joon Ho Song, Jeonghyeon Cho, Kyomin Sohn, Nam Sung Kim. Aquabolt-XL HBM2-PIM, LPDDR5-PIM With In-Memory Processing, and AXDIMM With Acceleration Buffer
31 -- 38David R. Ditzel. Accelerating ML Recommendation With Over 1, 000 RISC-V/Tensor Processors on Esperanto's ET-SoC-1 Chip
40 -- 49Amlan Ganguly, Sergi Abadal, Ishan G. Thakkar, Natalie Enright Jerger, Marc D. Riedel, Masoud Babaie, Rajeev Balasubramonian, Abu Sebastian, Sudeep Pasricha, Baris Taskin. Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion
50 -- 56German Maglione Mathey, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles 0001. Reducing the Impact of Interjob Interference in Dragonfly Networks Using Virtual Partitions
57 -- 65Fahrettin Koc, Behzad Salami 0001, Oguz Ergin, Osman S. Unsal, Adrián Cristal Kestelman. Can We Trust Undervolting in FPGA-Based Deep Learning Designs at Harsh Conditions?
67 -- 77Joshua J. Yi. Review of Patents Issued to Computer Architecture Companies in 2021 - Part II
78 -- 84Michael Mattioli. Meet the FaM1ly
86 -- 88Shane Greenstein. Growth From Breadth and Depth

Volume 42, Issue 2

4 -- 5Lizy Kurian John. Special Issue on Cool Chips and Hot Interconnects
6 -- 7Makoto Ikeda, Fumio Arakawa. Special Issue on Cool Chips
8 -- 15Zhenshan Bao, Guohang Fu, Wenbo Zhang 0003, Kang Zhan, Junnan Guo. LSFQ: A Low-Bit Full Integer Quantization for High-Performance FPGA-Based CNN Acceleration
16 -- 25Donghyeon Han, Dongseok Im, Gwangtae Park, YoungWoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo. A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation
26 -- 34Mitsuhisa Sato, Yuetsu Kodama, Miwako Tsuji, Tesuya Odajima. Co-Design and System for the Supercomputer "Fugaku"
35 -- 36Sayan Ghosh, Ryan E. Grant, Min-Si. Special Issue on Hot Interconnects
37 -- 43Debendra Das Sharma. A Low-Latency and Low-Power Approach for Coherency and Memory Protocols on PCI Express 6.0 PHY at 64.0 GT/s With PAM-4 Signaling
44 -- 52Kartik Lakhotia, Fabrizio Petrini, Rajgopal Kannan, Viktor K. Prasanna. Accelerating Allreduce With In-Network Reduction on Intel PIUMA
53 -- 60Arpan Jain, Nawras Alnaasan, Aamir Shafi, Hari Subramoni, Dhabaleswar K. Panda 0001. Optimizing Distributed DNN Training Using CPUs and BlueField-2 DPUs
61 -- 67Cristóbal Camarero, Carmen Martínez, Ramón Beivide. Polarized Routing for Large Interconnection Networks
68 -- 76Yiltan Hassan Temuçin, Amir Hossein Sojoodi, Pedram Alizadeh, Benjamin Kitor, Ahmad Afsahi. Accelerating Deep Learning Using Interconnect-Aware UCX Communication for MPI Collectives
77 -- 84Joshua J. Yi. Review of Patents Issued to Computer Architecture Companies in 2021 [Micro Law]
86 -- 88Shane Greenstein. Time for a Change in U.S. Antitrust for Technology?

Volume 42, Issue 1

4 -- 6Lizy Kurian John. Smart Agriculture and Smart Memories
6 -- 7Sudip Misra, Neeraj Kumar 0001. Special Issue on Artificial Intelligence, Edge, and Internet of Things for Smart Agriculture
8 -- 16Debjani Ghosh, Akash Anand, Satya Sankalp Gautam, Ankit Vidyarthi. Soil Fertility Monitoring With Internet of Underground Things: A Survey
17 -- 24Faisal Karim Shaikh, Mohsin Ali Memon, Naeem Ahmed Mahoto, Sherali Zeadally, Jamel Nebhen. Artificial Intelligence Best Practices in Smart Agriculture
25 -- 32Wei-Che Chien, Mohammad Mehedi Hassan, Ahmed Alsanad, Giancarlo Fortino. UAV-Assisted Joint Wireless Power Transfer and Data Collection Mechanism for Sustainable Precision Agriculture in 5G
33 -- 40Prabhat Kumar 0003, Govind P. Gupta, Rakesh Tripathi. PEFL: Deep Privacy-Encoding-Based Federated Learning Framework for Smart Agriculture
41 -- 50Muhammad Adil, Muhammad Khurram Khan, Mona Jamjoom, Ahmed Farouk. MHADBOR: AI-Enabled Administrative-Distance-Based Opportunistic Load Balancing Scheme for an Agriculture Internet of Things Network
51 -- 60Kaneez Fizza, Prem Prakash Jayaraman, Abhik Banerjee, Dimitrios Georgakopoulos, Rajiv Ranjan. Evaluating Sensor Data Quality in Internet of Things Smart Agriculture Applications
61 -- 68Xu Liu 0007, Steven W. Chen, Guilherme V. Nardari, Chao Qu, Fernando Cladera Ojeda, Camillo J. Taylor, Vijay Kumar 0001. Challenges and Opportunities for Autonomous Micro-UAVs in Precision Agriculture
69 -- 77Ranveer Chandra, Manohar Swaminathan, Tusher Chakraborty, Jian Ding, Zerina Kapetanovic, Peeyush Kumar, Deepak Vasisht. Democratizing Data-Driven Agriculture Using Affordable Hardware
78 -- 86Andrew D. Balmos, Fabio A. Castiblanco, Aaron J. Neustedter, James V. Krogmeier, Dennis R. Buckmaster. ISOBlue Avena: A Framework for Agricultural Edge Computing and Data Sovereignty
87 -- 88Reetuparna Das. Special Issue on In-Memory Computing
89 -- 98Jian Meng, Wonbo Shim, Li Yang, Injune Yeo, Deliang Fan, Shimeng Yu, Jae-sun Seo. Temperature-Resilient RRAM-Based In-Memory Computing for DNN Inference
99 -- 107Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo. ECIM: Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point DNN Training Processor
108 -- 115Marzieh Lenjani, Kevin Skadron. Supporting Moderate Data Dependency, Position Dependency, and Divergence in PIM-Based Accelerators
116 -- 127Ke Liu 0012, Xuan Zhang 0001, Jinin So, Jong Geon Lee, Shinhaeng Kang, Sukhan Lee 0002, Songyi Han, YeonGon Cho, Jin Hyun Kim, Yongsuk Kwon, Kyungsoo Kim, Jin Jung, IlKwon Yun, Sung Joo Park, Hyunsun Park, Joon Ho Song, Jeonghyeon Cho, Kyomin Sohn, Nam Sung Kim, Hsien-Hsin S. Lee. Near-Memory Processing in Action: Accelerating Personalized Recommendation With AxDIMM
128 -- 136Joshua J. Yi. Analysis of Historical Patenting Behavior and Patent Characteristics of Computer Architecture Companies - Part II: Prosecution Time and Effective Patent Term Length
138 -- 140Shane Greenstein. Google and Apple Signed a Deal