Journal: IEEE Trans. on Circuits and Systems

Volume 60-II, Issue 9

537 -- 541Wei Zhang, Xican Chen, Fei Chen, Woogeun Rhee, Zhihua Wang. A Phase-Domain $\Delta\Sigma$ Ranging Method for FMCW Radar Receivers
542 -- 546Lianhong Zhou, Muthukumaraswamy Annamalai Arasu, Jeongwook Koh, Minkyu Je, Libin Yao, Chun-Huat Heng. A Crystal-Less Temperature-Independent Reconfigurable Transmitter Targeted for High-Temperature Wireless Acoustic Telemetry Applications
547 -- 551I-Ting Lee, Kai-Hui Zeng, Shen-Iuan Liu. A 4.8-GHz Dividerless Subharmonically Injection-Locked All-Digital PLL With a FOM of $-$252.5 dB
552 -- 556Metin Sengül. Design of Practical Broadband Matching Networks With Lumped Elements
557 -- 561Chang-Kyo Lee, Wan Kim, Hyun-Wook Kang, Seung-Tak Ryu. A Replica-Driving Technique for High Performance SC Circuits and Pipelined ADC Design
562 -- 566Seung-Yeob Baek, Jae-Kyum Lee, Seung-Tak Ryu. An 88-dB Max-SFDR 12-bit SAR ADC With Speed-Enhanced ADEC and Dual Registers
567 -- 571Maarten De Bock, Xinpeng Xing, Ludo Weyten, Georges G. E. Gielen, Pieter Rombouts. Calibration of DAC Mismatch Errors in $\Sigma\Delta$ ADCs Based on a Sine-Wave Measurement
572 -- 576Seyed Kasra Garakoui, Eric A. M. Klumperink, Bram Nauta, Frank E. van Vliet. Frequency Limitations of First-Order $g_{m} - RC$ All-Pass Delay Circuits
577 -- 581Anvesha Amaravati, Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma. 800-nA Process-and-Voltage-Invariant 106-dB PSRR PTAT Current Reference
582 -- 586Ze-kun Zhou, Pei-Sheng Zhu, Yue Shi, Xi Qu, Hui-ying Wang, Xiao-Min Zhang, Shi Qiu, Nie Li, Chao Gou, Zhuo Wang, Bo Zhang. A Resistorless CMOS Voltage Reference Based on Mutual Compensation of $V_{T}$ and $V_{\rm TH}$
587 -- 591Mostafa Shaterian, Christopher M. Twigg, Javad Azhari. An MTL-Based Configurable Block for Current-Mode Nonlinear Analog Computation
592 -- 596Katharina Hausmair, Peter Singerl, Christian Vogel. Multiplierless Implementation of an Aliasing-Free Digital Pulsewidth Modulator
597 -- 601Keshab K. Parhi. Hierarchical Folding and Synthesis of Iterative Data Flow Graphs
602 -- 606Zhao Chuan Lee, Kam Chew Leong, Zhi-Hui Kong, Tony Tae-Hyoung Kim. NBTI/PBTI-Aware WWL Voltage Control for Half-Selected Cell Stability Improvement
607 -- 611Irena Orovic, Srdjan Stankovic, Branka Jokanovic. A Suitable Hardware Realization for the Cohen Class Distributions
612 -- 616Xueyun He, Rongfang Song, Wei-Ping Zhu. Pilot Allocation for Sparse Channel Estimation in MIMO-OFDM Systems

Volume 60-II, Issue 8

457 -- 461Sandeep D'Souza, Frank Hsiao, A. Tang, Sai-Wang Tam, Roc Berenguer, Mau-Chung Frank Chang. A 10-Bit 2-GS/s DAC-DDFS-IQ-Controller Baseband Enabling a Self-Healing 60-GHz Radio-on-Chip
462 -- 466Wei-Han Yu, Chak-Fong Cheang, Pui-In Mak, Weng-Fai Cheng, Ka-Fai Un, U.-Wai Lok, Rui Paulo Martins. A Nonrecursive Digital Calibration Technique for Joint Elimination of Transmitter and Receiver I/Q Imbalances With Minimized Add-On Hardware
467 -- 471Won Namgoong. Digital Equalization of a Polyphase Harmonic Mixer
472 -- 476Amir Babaie-Fishani, Bjorn Van Keymeulen, Pieter Rombouts. Analytical Expressions for the Distortion of Asynchronous Sigma-Delta Modulators
477 -- 481Jaspal Singh Shah, David Nairn, Manoj Sachdev. An Energy-Efficient Offset-Cancelling Sense Amplifier
482 -- 486Orlando Lazaro, Gabriel A. Rincón-Mora. Inductively Coupled 180-nm CMOS Charger With Adjustable Energy-Investment Capability
487 -- 491Ahmed S. Elwakil, M. E. Fouda, Ahmed Gomaa Radwan. A Simple Model of Double-Loop Hysteresis Behavior in Memristive Elements
492 -- 496Bijoy Kumar Upadhyaya, Salil Kumar Sanyal. Efficient FPGA Implementation of Address Generator for WiMAX Deinterleaver
497 -- 501Zhen Gao, Pedro Reviriego, Wen Pan, Zhan Xu, Ming Zhao, Jing Wang, Juan Antonio Maestro. Efficient Arithmetic-Residue-Based SEU-Tolerant FIR Filter Design
502 -- 506Yusong Hu, Ching-Chuen Jong. A Memory-Efficient Scalable Architecture for Lifting-Based Discrete Wavelet Transform
507 -- 511Sayed Ahmad Salehi, Rassoul Amirfattahi, Keshab K. Parhi. Pipelined Architectures for Real-Valued FFT and Hermitian-Symmetric IFFT With Real Datapaths
512 -- 516Pedro Reviriego, Oscar Ruano, Mark F. Flanagan, Salvatore Pontarelli, Juan Antonio Maestro. An Efficient Technique to Protect Serial Shift Registers Against Soft Errors
517 -- 521an Wang, Man Chen, Zongyue Wang, Xiaoyun Wang. Fault Rate Analysis: Breaking Masked AES Hardware Implementations Efficiently
522 -- 526Ya Jun Yu, Wei Jing Xu. Investigation on the Optimization Criteria for the Design of Variable Fractional Delay Filters
527 -- 531J. R. Djordjevic-Kozarov, V. D. Pavlovic. An Analytical Method for the Multiplierless 2-D FIR Filter Functions and Hilbert Transform in z2 Domain
532 -- 536Wen-Chung Shen, Hsiao-I. Jen, An-Yeu Wu. New Ping-Pong Scheduling for Low-Latency EMD Engine Design in Hilbert-Huang Transform

Volume 60-II, Issue 7

377 -- 381Donggu Im. 1dB Active Feedback CMOS Wideband LNA for SAW-Less Receivers
382 -- 386Mehdi Kiani, Maysam Ghovanloo. A 20-Mb/s Pulse Harmonic Modulation Transceiver for Wideband Near-Field Data Transmission
387 -- 391Ameya Bhide, Omid Esmailzadeh Najari, Behzad Mesgarzadeh, Atila Alvandpour. An 8-GS/s 200-MHz Bandwidth 68-mW ΔΣ DAC in 65-nm CMOS
392 -- 396Jingyu Wang, Zhongbo Zhu, Changzhi Li, Jiangtao Huangfu, Lixin Ran. PLL-Based Self-Adaptive Resonance Tuning for a Wireless-Powered Potentiometer
397 -- 401Coro Garcia-Alberdi, Jesús Aguado Ruiz, Antonio J. López-Martín, Jaime Ramírez-Angulo. Micropower Class-AB VGA With Gain-Independent Bandwidth
402 -- 406Jian Xu, Tony Wu, Zhi Yang. A New System Architecture for Future Long-Term High-Density Neural Recording
407 -- 411Mark E. Halpern. Maximum Reduction in Peak Voltage Using Stepped Currents to Deliver Charge to RC Circuits
412 -- 416Won-Hwa Shin, Young-Hyun Jun, Bai-Sun Kong. A DFE Receiver With Equalized VREF for Multidrop Single-Ended Signaling
417 -- 421Fabricio G. S. Silva, Robson Nunes de Lima, Raimundo Carlos Silvério Freire, Calvin Plett. A Switchless Multiband Impedance Matching Technique Based on Multiresonant Circuits
422 -- 426Ramen Dutta, Eric A. M. Klumperink, Xiang Gao, Zhiyu Ru, Ronan A. R. van der Zee, Bram Nauta. Flip-Flops for Accurate Multiphase Clocking: Transmission Gate Versus Current Mode Logic
427 -- 431Peichen Jiang, Zhijian Lu, Rui Guan, Jianjun Zhou. All-Digital Adaptive Module for Automatic Background IIP2 Calibration in CMOS Downconverters With Fast Convergence
432 -- 436Pedro Reviriego, Salvatore Pontarelli, Juan Antonio Maestro, Marco Ottavi. Reducing the Cost of Implementing Error Correction Codes in Content Addressable Memories
437 -- 441Graham M. Megson, José O. Cadenas, Robert Simon Sherratt, Pablo Huerta, Wen-Chung Kao. A Parallel Quantum Histogram Architecture
442 -- 445Insun Song, PooGyeon Park, Robert W. Newcomb. A Normalized Least Mean Squares Algorithm With a Step-Size Scaler Against Impulsive Measurement Noise
446 -- 450Ahmad Beirami, Hamid Nejati. A Framework for Investigating the Performance of Chaotic-Map Truly Random Number Generators
451 -- 455Kaining Wu, Chang-Xi Li, Bor-Sen Chen, Yu Yao. ∞ Synchronization of Coupled Partial Differential Systems With Spatial Coupling Delay
456 -- 0Moon-Kyu Song, Seong-Eun Kim, Young-Seok Choi, Woo-Jin Song. Correction to "Selective Normalized Subband Adaptive Filter With Subband Extension"

Volume 60-II, Issue 6

297 -- 301Arash Zargaran-Yazd, Shahriar Mirabbasi. 12.5-Gb/s Full-Rate CDR With Wideband Quadrature Phase Shifting in Data Path
302 -- 306Kyu-Dong Hwang, Lee-Sup Kim. A 6.5-Gb/s 1-mW/Gb/s/CH Simple Capacitive Crosstalk Compensator in a 130-nm Process
307 -- 310Pere Palà-Schönwälder, Jordi Bonet-Dalmau, F. Xavier Moncunill-Geniz, Francisco del Aguila-Lopez, M. Rosa Giralt-Mas. A Low In-Band Radiation Superregenerative Oscillator
311 -- 315Kwang-Chun Choi, Sung-Geun Kim, Seung Woo Lee, Bhum-Cheol Lee, Woo-Young Choi. A 990-µW 1.6-GHz PLL Based on a Novel Supply-Regulated Active-Loop-Filter VCO
316 -- 320Hyouk-Kyu Cha, Dongning Zhao, Jia Hao Cheong, Bin Guo, Hongbin Yu, Minkyu Je. A CMOS High-Voltage Transmitter IC for Ultrasound Medical Imaging Applications
321 -- 325Rares Bodnar, William Redman-White. High-Accuracy Current Memory in HV CMOS Technology
326 -- 330Young-Il Kim, Sang Sun Lee. A Capacitorless LDO Regulator With Fast Feedback Technique and Low-Quiescent Current Error Amplifier
331 -- 335Hoon Ki Kim, Young-Jae Min, Chan-Hui Jeong, Kyu-Young Kim, Chulwoo Kim, Soo-Won Kim. A 1-mW Solar-Energy-Harvesting Circuit Using an Adaptive MPPT With a SAR and a Counter
336 -- 340Sherif H. Abdelhalem, Prasad S. Gudem, Lawrence E. Larson. An RF-DC Converter with Wide-Dynamic-Range Input Matching for Power Recovery Applications
341 -- 345Suat U. Ay. Boosted CMOS APS Pixel Readout for Ultra Low-Voltage and Low-Power Operation
346 -- 350Sang Yoon Park, Pramod Kumar Meher. Low-Power, High-Throughput, and Low-Area Adaptive FIR Filter Based on Distributed Arithmetic
351 -- 355Achiranshu Garg, Tony Tae-Hyoung Kim. SRAM Array Structures for Energy Efficiency Enhancement
356 -- 360Imen Mansouri, Pascal Benoit, Lionel Torres, Fabien Clermidy. Fine-Grain Dynamic Energy Tracking for System on Chip
361 -- 365Choon Ki Ahn. ∞ Elimination of Overflow Oscillations in 2-D Digital Filters Described by Roesser Model With External Interference
366 -- 370Z. Vahidpoor, A. Fotowat-Ahmady, K. Forooraghi, Z. Atlasbaf. A New Spectrum Sensing Method Using Output Analysis of the PFD
371 -- 375He Huang, Tingwen Huang, Xiaoping Chen. ∞ Performance State Estimation of Delayed Static Neural Networks

Volume 60-II, Issue 5

237 -- 241Marco Crepaldi, Danilo Demarchi. 2 Ring-Oscillator-Based Self-Calibrating IR-UWB Transmitter Using an Asynchronous Logic Duty-Cycled PLL
242 -- 246Imad ud Din, Johan Wernehag, Stefan Andersson, Sven Mattisson, Henrik Sjöland. Wideband SAW-Less Receiver Front-End With Harmonic Rejection Mixer in 65-nm CMOS
247 -- 251Wonhoon Jang, Nelson Silva, Arnaldo Oliveira, Nuno Borges Carvalho. Designing Harmonic-Controlled Drivers for Switching Power Amplifiers
252 -- 256Yan-Yu Lin, Shen-Iuan Liu. 4-Gb/s Parallel Receivers With Adaptive Far-End Crosstalk Cancellation
257 -- 261Byoungho Kim, Jacob A. Abraham. Capacitor-Coupled Built-Off Self-Test in Analog and Mixed-Signal Embedded Systems
262 -- 266Terry N. Guo. Unique Measurement and Modeling of Total Phase Noise in RF Receiver
267 -- 271Fei Xiao. Direct Synthesis Technique for Dual-Passband Filters: Superposition Approach
272 -- 276Xi Chen, Guanghui He, Jun Ma. VLSI Implementation of a High-Throughput Iterative Fixed-Complexity Sphere Decoder
277 -- 281Sangmin Kim, Gerald E. Sobelman. Scaling, Offset, and Balancing Techniques in FFT-Based BP Nonbinary LDPC Decoders
282 -- 286Doru-Florin Chiper. A Novel VLSI DHT Algorithm for a Highly Modular and Parallel Architecture
287 -- 291Shen-Fu Hsiao, Jun-Hong Zhang Jian, Ming-Chih Chen. Low-Cost FIR Filter Designs Based on Faithfully Rounded Truncated Multiple Constant Multiplication/Accumulation
292 -- 296Yao Chen, Wenwu Yu, Fangfei Li, Shasha Feng. Synchronization of Complex Networks With Impulsive Control and Disconnected Topology

Volume 60-II, Issue 4

177 -- 181Nejdat Demirel, Yenny Pinto, Christophe Calvez, Diane Titz, Cyril Luxey, Christian Person, Daniel Gloria, Didier Belot, Denis Pache, Eric Kerherve. Codesign of a PA-Antenna Block in Silicon Technology for 80-GHz Radar Application
182 -- 186Qiyang Wu, Tony Quach, Aji Mattamana, Salma Elabd, Pompei L. Orlando, Steven R. Dooley, Jamin J. McCue, Gregory L. Creech, Waleed Khalil. Frequency Tuning Range Extension in LC-VCOs Using Negative-Capacitance Circuits
187 -- 191Yi-Chun Shih, Brian P. Otis. An On-Chip Tunable Frequency Generator for Crystal-Less Low-Power WBAN Radio
192 -- 196Bogdan Georgescu, Roghoyeh Salmeh, Michel Fattouche, Fadhel M. Ghannouchi. Two-Tone Phase Delay Control of Center Frequency and Bandwidth in Low-Noise-Amplifier RF Front Ends
197 -- 201Habib Adrang, Hossein Miar Naimi. Nonlinear Analysis of BBCDR Jitter Generation Using VOLTERRA Series
202 -- 206Shailesh Kulkarni, Dixian Zhao, Patrick Reynaert. Design of an Optimal Layout Polyphase Filter for Millimeter-Wave Quadrature LO Generation
207 -- 211D. S. Yu, Y. Liang, H. Chen, Herbert H. C. Iu. Design of a Practical Memcapacitor Emulator Without Grounded Restriction
212 -- 216Xinmiao Zhang. An Efficient Interpolation-Based Chase BCH Decoder
217 -- 221Jianfeng Zhu, Leibo Liu, Shouyi Yin, Shaojun Wei. DD
222 -- 226Feiran Yang, Ming Wu, Jun Yang. A Computationally Efficient Delayless Frequency-Domain Adaptive Filter Algorithm
227 -- 231Dongkun Han, Graziano Chesi. Synchronization Conditions for Multiagent Systems With Intrinsic Nonlinear Dynamics
232 -- 236Hua Yang, Guo-Ping Jiang. Reference-Modulated DCSK: A Novel Chaotic Communication Scheme

Volume 60-II, Issue 3

117 -- 121Sunwoo Kong, Choul-Young Kim, Songcheol Hong. m-Boosting Technique
122 -- 126Yeo Myung Kim, Hong Gul Han, Tae-Wook Kim. m Linearization
127 -- 131Wei Fei, Hao Yu, Yang Shang, Deyun Cai, Junyan Ren. A 96-GHz Oscillator by High-Q Differential Transmission Line loaded with Complementary Split-Ring Resonator in 65-nm CMOS
132 -- 136Xianhe Huang, Yan Wang, Wei Fu. Design of a Wide-Tuning-Range Lithium Tantalate Low-Phase-Noise Voltage-Controlled Oscillator
137 -- 141Frank Herzel, Arzu Ergintav, Yaoming Sun. Phase Noise Modeling for Integrated PLLs in FMCW Radar
142 -- 146Yunjae Suh, Jongmi Lee, Byungsub Kim, Hong June Park, Jae-Yoon Sim. A 10-bit 25-MS/s 1.25-mW Pipelined ADC With a Semidigital Gm-Based Amplifier
147 -- 151Kareem Ragab, Mucahit Kozak, Nan Sun. Thermal Noise Analysis of a Programmable-Gain Switched-Capacitor Amplifier With Input Offset Cancellation
152 -- 156Ivan Padilla-Cantoya. Capacitor Multiplier With Wide Dynamic Range and Large Multiplication Factor for Filter Applications
157 -- 161Svetozar S. Broussev, Nikolay T. Tchamov. Two-Phase Self-Assisted Zero-Voltage Switching DC-DC Converter
162 -- 166Bongjin Kim, Injae Yoo, In-Cheol Park. Low-Complexity Parallel QPP Interleaver Based on Permutation Patterns
167 -- 171Yanming Wang, Jiguang Yue, Yongqing Su, Hao Liu. Design of Two-Dimensional Zero-Phase FIR Digital Filter by McClellan Transformation and Interval Global Optimization
172 -- 176Yongxiang Xia, David J. Hill. Dynamic Braess's Paradox in Complex Communication Networks

Volume 60-II, Issue 2

61 -- 65Jian Luo, Andreas Kortke, Wilhelm Keusgen, Mikko Valkama. A Novel Adaptive Calibration Scheme for Frequency-Selective I/Q Imbalance in Broadband Direct-Conversion Transmitters
66 -- 70Marco Sosio, Antonio Liscidini, Rinaldo Castello. An Intuitive Current-Driven Passive Mixer Model Based on Switched-Capacitor Theory
71 -- 75Joo-Myoung Kim, Seungjin Kim, In-Young Lee, Seok-Kyun Han, Sang-Gug Lee. A Low-Noise Four-Stage Voltage-Controlled Ring Oscillator in Deep-Submicrometer CMOS Technology
76 -- 80Tom Redant, Wim Dehaene. Joint Estimation of Propagation Delay Dispersion and Time of Arrival in a 40-nm CMOS Comparator Bank for Time-Based Receivers
81 -- 85Shanthi Pavan. A Time-Domain Perspective of the Signal Transfer Function of a Continuous-Time ΔΣ Modulator
86 -- 90Francesco Centurelli, Pietro Monsurrò, Alessandro Trifiletti. Improved Digital Background Calibration of Time-Interleaved Pipeline A/D Converters
91 -- 95Seon-Kyoo Lee, Byungsub Kim, Hong June Park, Jae-Yoon Sim. A QDR-Based 6-GB/s Parallel Transceiver With Current-Regulated Voltage-Mode Output Driver and Byte CDR for Memory Interface
96 -- 100Xi Qu, Ze-kun Zhou, Bo Zhang, Zhaoji Li. An Ultralow-Power Fast-Transient Capacitor-Free Low-Dropout Regulator With Assistant Push-Pull Output Stage
101 -- 105Moon-Kyu Song, Seong-Eun Kim, Young-Seok Choi, Woo-Jin Song. Selective Normalized Subband Adaptive Filter With Subband Extension
106 -- 110Biao Min, Ray C. C. Cheung, Hong Yan. A Flexible and Customizable Architecture for the Relaxation Labeling Algorithm
111 -- 115Takuya Noguchi, Hiroyuki Torikai. Ghost Stochastic Resonance From an Asynchronous Cellular Automaton Neuron Model

Volume 60-II, Issue 12

825 -- 826Dennis Sylvester. Introduction to the Special Section on Circuits and Systems for Energy-Autonomous Microsystems
827 -- 831Ye-Sing Luo, Jiun-Ru Wang, Wei-Jen Huang, Je-Yu Tsai, Yi-Fang Liao, Wan-Ting Tseng, Chen-Tung Yen, Pai-Chi Li, Shen-Iuan Liu. Ultrasonic Power/Data Telemetry and Neural Stimulator With OOK-PM Signaling
832 -- 836Khadar Shaik, Travis Kleeburg, Rajeevan Amirtharajah. Ultralow-Power Optical CDR for Integrated Photovoltaic Energy-Harvesting Sensors
837 -- 841Yu-Hsuan Chiang, Shen-Iuan Liu. A Submicrowatt 1.1-MHz CMOS Relaxation Oscillator With Temperature Compensation
842 -- 846Yoonmyung Lee, Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw. Achieving Ultralow Standby Power With an Efficient SCCMOS Bias Generator
847 -- 851Abbas Rahimi, Luca Benini, Rajesh K. Gupta. Spatial Memoization: Concurrent Instruction Reuse to Correct Timing Errors in SIMD Architectures
852 -- 856R. R. Manikandan, Bharadwaj Amrutur. Experimental Study on Substrate Noise Effects of a Pulsed Clocking Scheme on PLL Performance
857 -- 861Ehsan Zhian Tabasy, Mahmoud Kamarei, Shahin Jafarabadi-Ashtiani, Samuel Palermo. Sequential Correlated Level Shifting: A Switched-Capacitor Approach for High-Accuracy Systems
862 -- 866Vahideh Sadat Sadeghi, Hossein Miar Naimi, Michael Peter Kennedy. The Role of Charge Pump Mismatch in the Generation of Integer Boundary Spurs in Fractional-N Frequency Synthesizers: Why Worse Can Be Better
867 -- 871Jikai Chen, Rizwan Bashirullah. A 12.4-mW 4.5-Gb/s Receiver With Majority-Voting 1-Tap Speculative DFE in 0.13- µm CMOS
872 -- 876Hoyoung Yoo, Jaehwan Jung, Jihyuck Jo, In-Cheol Park. Area-Efficient Multimode Encoding Architecture for Long BCH Codes
877 -- 881Héctor Pettenghi, Ricardo Chaves, Leonel Sousa. Method to Design General RNS Reverse Converters for Extended Moduli Sets
882 -- 886Rance Rodrigues, Arunachalam Annamalai, Israel Koren, Sandip Kundu. A Study on the Use of Performance Counters to Estimate Power in Microprocessors
887 -- 891S. G. Stavrinides, N. F. Karagiorgos, K. Papathanasiou, S. Nikolaidis, A. N. Anagnostopoulos. A Digital Nonautonomous Chaotic Oscillator Suitable for Information Transmission
892 -- 896Ivan H. H. Jørgensen, Peter Pracný, Erik Bruun. Hardware-Efficient Implementation of Half-Band IIR Filter for Interpolation and Decimation
897 -- 901Hai Huyen Dam, Sven Nordholm. Design of Robust Broadband Beamformers With Discrete Coefficients and Least Squared Criterion
902 -- 906Bo Shen, Steven X. Ding, Zidong Wang. ∞ Fault Estimation for Uncertain Linear Discrete Time-Varying Systems With Known Inputs

Volume 60-II, Issue 11

721 -- 725Malihe Zarre Dooghabadi, Håkon A. Hjortland, Øivind Næss, Kin Keung Lee, Tor Sverre Lande. An IR-UWB Transmitter for Ranging Systems
726 -- 730ZiJie Hu, Koen Mouthaan. A 1- to 10-GHz RF and Wideband IF Cross-Coupled Gilbert Mixer in 0.13- $\mu\hbox{m}$ CMOS
731 -- 735Chun-hsiang Chang, Marvin Onabajo. IIP3 Enhancement of Subthreshold Active Mixers
736 -- 740Nagarajan Mahalingam, Kaixue Ma, Kiat Seng Yeo, Wei Meng Lim. $K$-band High-PAE Wide-Tuning-Range VCO Using Triple-Coupled $LC$ Tanks
741 -- 745Sebastian Höppner, Stefan Hänzsche, Georg Ellguth, Dennis Walter, Holger Eisenreich, René Schüffny. A Fast-Locking ADPLL With Instantaneous Restart Capability in 28-nm CMOS Technology
746 -- 750Mohsen Hassanpourghadi, Mohammad Sharifkhani. Fast Static Characterization of Residual-Based ADCs
751 -- 755Tom Redant, Pieter A. J. Nuyts, Patrick Reynaert, Wim Dehaene. Presilicon Circuit-Aware Linear Least Squares Spectral Analysis for Time-Based Data Converters
756 -- 760Sergio Callegari, Federico Bizzarri. Noise Weighting in the Design of $\Delta\Sigma$ Modulators (With a Psychoacoustic Coder as an Example)
761 -- 765Yong Chen, Pui-In Mak, Stefano D'Amico, Li Zhang, He Qian, Yan Wang. A Single-Branch Third-Order Pole-Zero Low-Pass Filter With 0.014- $\hbox{mm}^{2}$ Die Size and 0.8-kHz (1.25-nW) to 0.94-GHz (3.99-mW) Bandwidth-Power Scalability
766 -- 770Fatemeh Aezinia, Behraad Bahreyni. An Interface Circuit With Wide Dynamic Range for Differential Capacitive Sensing Applications
771 -- 775Li Lu, Scott T. Block, David E. Duarte, Changzhi Li. A 0.45-V MOSFETs-Based Temperature Sensor Front-End in 90 nm CMOS With a Noncalibrated $\pm \hbox{3.5} \ ^{\circ}\hbox{C} \ \hbox{3}\sigma$ Relative Inaccuracy From $-\hbox{55} \ ^{\circ}\hbox{C}$ to 105 $^{\circ}\hbox{C}$
776 -- 780Sang Yun Kim, Jong-Min Baek, Dong Jin Seo, Jae-Koo Park, Jung-Hoon Chun, Kee-Won Kwon. Power-Efficient Fast Write and Hidden Refresh of ReRAM Using an ADC-Based Sense Amplifier
781 -- 785M. Surya Prakash, Rafi Ahamed Shaik. Low-Area and High-Throughput Architecture for an Adaptive Filter Using Distributed Arithmetic
786 -- 790Salvador Manich, Martin Strasser. A Highly Time Sensitive XOR Gate for Probe Attempt Detectors
791 -- 795Guiming Wu, Xianghui Xie, Yong Dou, Miao Wang. High-Performance Architecture for the Conjugate Gradient Solver on FPGAs
796 -- 800Amirhossein Alimohammad, Saeed Fouladi Fard. FPGA Implementation of Isotropic and Nonisotropic Fading Channels
801 -- 805A. Ruan, J. Yang, L. Wan, B. Jie, Z. Tian. Insight Into a Generic Interconnect Resource Model for Xilinx Virtex and Spartan Series FPGAs
806 -- 810Shin-Chi Lai, Meng-Kun Lee, An-Kai Li, Ching-Hsing Luo, Sheau-Fang Lei. An Innovative Fast Algorithm and Structure Design for Analysis and Synthesis Quadrature Mirror Filterbanks on the SBR in DRM
811 -- 815Shiyuan Wang, Jiuchao Feng, Chi Kong Tse. Kernel Affine Projection Sign Algorithms for Combating Impulse Interference
816 -- 820Yang Yi, Wei Xing Zheng, Lei Guo. Improved Results on Statistic Information Control With a Dynamic Neural Network Identifier

Volume 60-II, Issue 10

617 -- 621Yunzhi Dong, Kenneth W. Martin. A 4-Gbps POF Receiver Using Linear Equalizer With Multi-Shunt-Shunt Feedbacks in 65-nm CMOS
622 -- 626Yan-Yu Lin, Shen-Iuan Liu. 4-Gb/s Parallel Receivers With Adaptive FEXT Cancellation by Pulse Width and Amplitude Calibrations
627 -- 631Seong-Ryong Ryu, Hars Vardhan, Bhaskar Banerjee, Ravi Prakash. Continuous Active Phase Shifter Design and Analysis for Millimeter-Wave Circuits
632 -- 636Giovanni Marucci, Salvatore Levantino, Paolo Maffezzoni, Carlo Samori. Exploiting Stochastic Resonance to Enhance the Performance of Digital Bang-Bang PLLs
637 -- 641Xiongchuan Huang, Guido Dolmans, Harmke de Groot, John R. Long. Noise and Sensitivity in RF Envelope Detection Receivers
642 -- 646Yan Lu, Gang Yuan, Lawrence Der, Wing-Hung Ki, C. Patrick Yue. A $\pm$0.5% Precision On-Chip Frequency Reference With Programmable Switch Array for Crystal-Less Applications
647 -- 651Manoj Kumar Yadav, Mario R. Casu, Maurizio Zamboni. LAURA-NoC: Local Automatic Rate Adjustment in Network-on-Chips With a Simple DVFS
652 -- 656Manohar Ayinala, Yingjie Lao, Keshab K. Parhi. An In-Place FFT Architecture for Real-Valued Signals
657 -- 661Domingo Garcia, Mohamed Mansour, Murtaza Ali. A Flexible Hardware Architecture for Wavelet Packet Transform With Arbitrary Tree Structure
662 -- 666Ren-Der Chen, Pei-Yin Chen, Chun-Hsien Yeh. Design of an Area-Efficient One-Dimensional Median Filter
667 -- 671Costas Efstathiou, Zaher Owda, Yiorgos Tsiatouhas. New High-Speed Multioutput Carry Look-Ahead Adders
672 -- 676Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Jian Zhang, Giovanni De Micheli. Power-Gated Differential Logic Style Based on Double-Gate Controllable-Polarity Transistors
677 -- 681Shien-Chun Luo, Ching-Ji Huang, Yuan-Hua Chu. An Adaptive Pulse-Triggered Flip-Flop for a High-Speed and Voltage-Scalable Standard Cell Library
682 -- 686Xuan Zhu, Xuejun Yang, Chunqing Wu, Nong Xiao, Junjie Wu, Xun Yi. Performing Stateful Logic on Memristor Memory
687 -- 691Zheng Zhang, Tarek A. El-Moselhy, Paolo Maffezzoni, Ibrahim M. Elfadel, Luca Daniel. Efficient Uncertainty Quantification for the Periodic Steady State of Forced and Autonomous Circuits
692 -- 696Du-Qu Wei, Bo Zhang, Xiao-Shu Luo, Shang You Zeng, Dong Yuan Qiu. Effects of Couplings on the Collective Dynamics of Permanent-Magnet Synchronous Motors
697 -- 701Saeed Manaffam, Alireza Seyedi. Synchronization Probability in Large Complex Networks
702 -- 706Toni Draganov Stojanovski, Ljupco Kocarev. Construction of Markov Partitions in PL1D Maps
707 -- 711Hyung-Min Lee, Maysam Ghovanloo. A Power-Efficient Wireless Capacitor Charging System Through an Inductive Link
712 -- 716Ji-Soo Chang, Hyoung-Seok Oh, Young-Hyun Jun, Bai-Sun Kong. Fast Output Voltage-Regulated PWM Buck Converter With an Adaptive Ramp Amplitude Control

Volume 60-II, Issue 1

1 -- 5Jae-Yong Ihm. Stability Analysis of Bang-Bang Phase-Locked Loops for Clock and Data Recovery Systems
6 -- 10Sunkwon Kim, Jong-Kwan Woo, Woo-Yeol Shin, Gi-Moon Hong, Hyongmin Lee, Hyunjoong Lee, Suhwan Kim. A 10-Mbps 0.8-pJ/bit Referenceless Clock and Data Recovery Circuit for Optically Controlled Neural Interface System
11 -- 15Yong Chen, Pui-In Mak, Li Zhang, He Qian, Yan Wang. 2 Die Size in 90-nm CMOS
16 -- 20Ivan Padilla-Cantoya. Low-Power High Parallel Load Resistance Current-Mode Grounded and Floating Capacitor Multiplier
21 -- 25Won Young Lee, Jiehwan Oh, Lee-Sup Kim. A LOG-Induced SSN-Tolerant Transceiver for On-Chip Interconnects in COG-Packaged Source Driver IC for TFT-LCD
26 -- 30Jiwon Lee, Inkyu Baek, Kyounghoon Yang. Memoryless Wide-Dynamic-Range CMOS Image Sensor Using Nonfully Depleted PPD-Storage Dual Capture
31 -- 35Shih-Lun Chen. VLSI Implementation of a Low-Cost High-Quality Image Scaling Processor
36 -- 40Yi Wang, Yajun Ha. FPGA-Based 40.9-Gbits/s Masked AES With Area Optimization for Storage Area Network
41 -- 45Reza Azarderakhsh, Arash Reyhani-Masoleh. High-Performance Implementation of Point Multiplication on Koblitz Curves
46 -- 50Jian-Feng Gu, S. C. Chan, Wei-Ping Zhu, M. N. S. Swamy. Joint DOA Estimation and Source Signal Tracking With Kalman Filtering and Regularized QRD RLS Algorithm
51 -- 55Kruno Milicevic, Zia Emin. Initiation of Characteristic Ferroresonance States Based on Flux Reflection Model
56 -- 60Ali Zemouche, Mohamed Boutayeb. Comments on "A Note on Observers for Discrete-Time Lipschitz Nonlinear Systems"