Journal: IEEE Trans. on Circuits and Systems

Volume 65, Issue 9

2645 -- 2656Matthew Schormans, Virgilio Valente, Andreas Demosthenous. A Low-Power, Wireless, Capacitive Sensing Frontend Based on a Self-Oscillating Inductive Link
2657 -- 2668Haikun Jia, Clarissa C. Prawoto, Baoyong Chi, Zhihua Wang, C. Patrick Yue. A Full Ka-Band Power Amplifier With 32.9% PAE and 15.3-dBm Power in 65-nm CMOS
2669 -- 2678Bosung Suh, Doojung Kim, Byung-Wook Min. A 7-GHz CMOS Bidirectional Variable Gain Amplifier With Low Gain and Phase Imbalances
2679 -- 2690Jaeyoung Lee, Cam Nguyen. A K-Ka-Band Concurrent Dual-Band Single-Ended Input to Differential Output Low-Noise Amplifier Employing a Novel Transformer Feedback Dual-Band Load
2691 -- 2702Sung-Yong Cho, SungWoo Kim, Min-Seong Choo, Han-Gon Ko, Jinhyung Lee, Woo-Rham Bae, Deog Kyoon Jeong. A 2.5-5.6 GHz Subharmonically Injection-Locked All-Digital PLL With Dual-Edge Complementary Switched Injection
2703 -- 2714Imon Mondal, Nagendra Krishnapura. Expansion and Compression of Analog Pulses by Bandwidth Scaling of Continuous-Time Filters
2715 -- 2725Kyle D. Holzer, Wen Yuan, Jeffrey S. Walling. Wideband Techniques for Outphasing Power Amplifiers
2726 -- 2738Yuning Jiang, Peng Huang 0004, Dongbin Zhu, Zheng Zhou, Runze Han, Lifeng Liu, Xiaoyan Liu, JinFeng Kang. Design and Hardware Implementation of Neuromorphic Systems With RRAM Synapses and Threshold-Controlled Neurons for Pattern Recognition
2739 -- 2752Chen-Han Chien, Luca Longinotti, Andreas Steimer, Shih-Chii Liu. Hardware Implementation of an Event-Based Message Passing Graphical Model Network
2753 -- 2763Libor Kadlcik, Pavel Horsky. A CMOS Follower-Type Voltage Regulator With a Distributed-Element Fractional-Order Control
2764 -- 2773Mohsen Judy, Nicholas Poore, Peixing Liu, Tan Yang, Charles Britton, David S. Bolme, Aravind Mikkilineni, Jeremy Holleman. A Digitally Interfaced Analog Correlation Filter System for Object Tracking Applications
2774 -- 2783Surachoke Thanapitak, Chutham Sawigun. A Subthreshold Buffer-Based Biquadratic Cell and its Application to Biopotential Filter Design
2784 -- 2796Kiichi Niitsu, Atsuki Kobayashi, Yuya Nishio, Kenya Hayashi, Kei Ikeda, Takashi Ando, Yudai Ogawa, Hiroyuki Kai, Matsuhiko Nishizawa, Kazuo Nakazato. A Self-Powered Supply-Sensing Biosensor Platform Using Bio Fuel Cell and Low-Voltage, Low-Cost CMOS Supply-Controlled Ring Oscillator With Inductive-Coupling Transmitter for Healthcare IoT
2797 -- 2809Po-Chih Ku, Kuan-Yu Shih, Liang-Hung Lu. A High-Voltage DAC-Based Transmitter for Coded Signals in High Frequency Ultrasound Imaging Applications
2810 -- 2820Jui-Hsiang Tsai, Chen-Yi Kuo, Shu-Hsuan Lin, Fu-To Lin, Yu-Te Liao. A Wirelessly Powered CMOS Electrochemical Sensing Interface With Power-Aware RF-DC Power Management
2821 -- 2831Christos Giagkoulovits, Boon Chong Cheah, Mohammed Al-Rawhani, Claudio Accarino, Christoph Busche, James P. Grant, David R. S. Cumming. A 16×16 CMOS Amperometric Microelectrode Array for Simultaneous Electrochemical Measurements
2832 -- 2841Seonggeon Kim, Kang-Yoon Lee, MinJae Lee. Modeling Random Clock Jitter Effect of High-Speed Current-Steering NRZ and RZ DAC
2842 -- 2855Sameed Hameed, Sudhakar Pamarti. Impedance Matching and Reradiation in LPTV Receiver Front-Ends: An Analysis Using Conversion Matrices
2856 -- 2868Weiqiang Liu, Jiahua Xu, Danye Wang, Chenghua Wang, Paolo Montuschi, Fabrizio Lombardi. Design and Evaluation of Approximate Logarithmic Multipliers for Low Power Error-Tolerant Applications
2869 -- 2877Raziyeh Salarifard, Siavash Bayat Sarmadi, Hatameh Mosanaei-Boorani. A Low-Latency and Low-Complexity Point-Multiplication in ECC
2878 -- 2888Armin Belghadr, Ghassem Jaberipur. FIR Filter Realization via Deferred End-Around Carry Modular Addition
2889 -- 2902Chak-Fong Cheang, Pui-In Mak, Rui P. Martins. A Hardware-Efficient Feedback Polynomial Topology for DPD Linearization of Power Amplifiers: Theory and FPGA Validation
2903 -- 2916Yi-Fei Pu, Xiao Yuan, Bo Yu. Analog Circuit Implementation of Fractional-Order Memristor: Arbitrary-Order Lattice Scaling Fracmemristor
2917 -- 2928Soolmaz Abbasalizadeh, Hossein Miar Naimi. A Phase Tunable Rotary Traveling Wave Oscillator: Analysis and Calibration
2929 -- 2938Robert D'Angelo, Xiaocong Du, Christopher D. Salthouse, Brent Hollosi, Geremy Freifeld, Wes Uy, Haiyao Huang, Nhut Tran, Armand Chery, Jae-sun Seo, Yu Cao 0001, Dorothy C. Poppe, Sameer R. Sonkusale. Process Scalability of Pulse-Based Circuits for Analog Image Convolution
2939 -- 2950Guoyong Shi. Generating the Closed-Form Second-Order Characteristics of Analog Differential Cells by Symbolic Perturbation
2951 -- 2960Wenhai Qi, Guangdeng Zong, Hamid Reza Karimi. Observer-Based Adaptive SMC for Nonlinear Uncertain Singular Semi-Markov Jump Systems With Applications to DC Motor
2961 -- 2969Yanling Wei 0001, Haoyong Yu, Hamid Reza Karimi, Young Hoon Joo. New Approach to Fixed-Order Output-Feedback Control for Piecewise-Affine Systems
2970 -- 2982Juan Liu 0006, Guofeng Mei, Xiaoqun Wu, Jinhu Lu. Robust Reconstruction of Continuously Time-Varying Topologies of Weighted Networks
2983 -- 2991Yang Lou, Lin Wang, Guanrong Chen. Toward Stronger Robustness of Network Controllability: A Snapback Network Model
2992 -- 3004Fan Wang, Zidong Wang, Jinling Liang, Xiaohui Liu 0001. Resilient Filtering for Linear Time-Varying Repetitive Processes Under Uniform Quantizations and Round-Robin Protocols
3005 -- 3013Meng Wang 0013, Jianbin Qiu, Gang Feng 0001. Finite Frequency Filtering Design for Uncertain Discrete-Time Systems Using Past Output Measurements
3014 -- 3026Yong Chen 0005, Pui-In Mak, Chirn Chye Boon, Rui P. Martins. A 36-Gb/s 1.3-mW/Gb/s Duobinary-Signal Transmitter Exploiting Power-Efficient Cross-Quadrature Clocking Multiplexers With Maximized Timing Margin
3027 -- 3036Sendy Phang, Michel T. Ivrlac, Gabriele Gradoni, Stephen C. Creagh, Gregor Tanner, Josef A. Nossek. Near-Field MIMO Communication Links
3037 -- 3048SeongJin Oh, Sung Jin Kim, Imran Ali, Thi Kim Nga Truong, Dong Soo Lee, YoungGun Pu, Sang-Sun Yoo, MinJae Lee, Keum-Cheol Hwang, Youngoo Yang, Kang-Yoon Lee. A 3.9 mW Bluetooth Low-Energy Transmitter Using All-Digital PLL-Based Direct FSK Modulation in 55 nm CMOS
3049 -- 3059Piljae Park, Sungdo Kim. A Continuous Sweep-Clock-Based Time-Expansion Impulse-Radio Radar
3060 -- 3073Ahmed Elmaghraby, Ram Sunil Kanumalli, Werner Schelmbauer, Andreas Mayer, Stefan Herzinger, Dan Schwartz, Mario Huemer, Robert Weigel. A Mixed-Signal Technique for TX-Induced Modulated Spur Cancellation in LTE-CA Receivers
3074 -- 3084Burak Unal, Ali Akoglu, Fakhreddine Ghaffari, Bane Vasic. Hardware Implementation and Performance Analysis of Resource Efficient Probabilistic Hard Decision LDPC Decoders
3085 -- 3098Jerry Lemberg, Mikko Martelius, Marko Kosunen, Enrico Roverato, Kari Stadius, Lauri Anttila, Mikko Valkama, Jussi Ryynänen. Tri-Phasing Modulation for Efficient and Wideband Radio Transmitters
3099 -- 3109Jian-Fu Wu, Chia-Ling Wei, Ying-Zong Juang. A Monolithic High-Voltage Li-Ion Battery Charger With Sharp Mode Transition and Partial Current Control Technique
3110 -- 3121Yong Li 0026, Jiefeng Hu, Feibin Chen, Zilin Li, Zhengyou He, Ruikun Mai. Dual-Phase-Shift Control Scheme With Current-Stress and Efficiency Optimization for Wireless Power Transfer Systems

Volume 65, Issue 8

2353 -- 2364Pedram Payandehnia, Hamidreza Maghami, Hossein Mirzaie, Manjunath Kareppagoudr, Siladitya Dey, Massoud Tohidian, Gabor C. Temes. A 0.49-13.3 MHz Tunable Fourth-Order LPF with Complex Poles Achieving 28.7 dBm OIP3
2365 -- 2377Sagar Ray, Mona Mostafa Hella. A 53 dB Ω~7-GHz Inductorless Transimpedance Amplifier and a 1-THz+ GBP Limiting Amplifier in 0.13-µm CMOS
2378 -- 2388Jingyu Wang, Shubin Liu, Yi Shen, Zhangming Zhu. Low-Power Single-Ended SAR ADC Using Symmetrical DAC Switching for Image Sensors With Passive CDS and PGA Technique
2389 -- 2402Mikko Englund, Faizan Ul Haq, Kari Stadius, Marko Kosunen, Kim B. Ostman, Kimmo Koli, Jussi Ryynänen. A Systematic Design Method for Direct Delta-Sigma Receivers
2403 -- 2410Neha Sinha, Sudhakar Pamarti. Theoretical Analysis of Circuit Non-Idealities in a Passive Spectrum Scanner Based on Periodically Time-Varying Circuit Components
2411 -- 2421Avishek Adhikary, Sourabh Choudhary, Siddhartha Sen 0002. Optimal Design for Realizing a Grounded Fractional Order Inductor Using GIC
2422 -- 2430Robert Rieger, Mochammad Rif'an. Integrated ExG, Vibration and Temperature Measurement Front-End for Wearable Sensing
2431 -- 2442Hubin Zhao, Ahmed Soltan, Pleun Maaskant, Na Dong, Xiaohan Sun, Patrick Degenaar. A Scalable Optoelectronic Neural Probe Architecture With Self-Diagnostic Capability
2443 -- 2454Yung-Chen Chien, Jinn-Shyan Wang. A 0.2 V 32-Kb 10T SRAM With 41 nW Standby Power for IoT Applications
2455 -- 2465Qiliang Shao, Zhenji Hu, Shaik Nazeem Basha, Zhiping Zhang, Zhiqiang Wu 0001, Chiou-Yng Lee, Jiafeng Xie. m)
2466 -- 2476Phrangboklang Lynton Thangkhiew, Rahul Gharpinde, Kamalika Datta. Efficient Mapping of Boolean Functions to Memristor Crossbar Using MAGIC NOR Gates
2477 -- 2490Shoukat Ali, Murat Cenk. Faster Residue Multiplication Modulo 521-bit Mersenne Prime and an Application to ECC
2491 -- 2502Ching-Chieh Chiu, Lan-Da Van, Yu-Shu Lin. Efficient Progressive Radiance Estimation Engine Architecture and Implementation for Progressive Photon Mapping
2503 -- 2514Yongtao Qiu, You-Jiang Liu, Jie Zhou, Guifu Zhang, Dahai Chen, Niantong Du. All-Digital Blind Background Calibration Technique for Any Channel Time-Interleaved ADC
2515 -- 2523Md. Mehedi Hasan, Khan A. Wahid. Low-Cost Lifting Architecture and Lossless Implementation of Daubechies-8 Wavelets
2524 -- 2533Fang Tang, Zhongjie Wang, Yingjun Xia, Fan Liu, Xichuan Zhou, Shengdong Hu, Zhi Lin, Amine Bermak. An Area-Efficient Column-Parallel Digital Decimation Filter With Pre-BWI Topology for CMOS Image Sensor
2534 -- 2546Soolmaz Abbasalizadeh, Hossein Miar Naimi. Phase Transition Analysis of Dual-Mode Standing-Rotary Traveling-Wave Oscillator
2547 -- 2558Ioannis A. Papistas, Vasilis F. Pavlidis. Efficient Modeling of Crosstalk Noise on Power Distribution Networks for Contactless 3-D ICs
2559 -- 2570Lei Sang, Yulong Xu, Yun Wu, Rongmin Chen. Device and Compact Circuit-Level Modeling of Graphene Field-Effect Transistors for RF and Microwave Applications
2571 -- 2580Deepak Kumar, Victor Sreeram, Xin Du. Model Reduction Using Parameterized Limited Frequency Interval Gramians for 1-D and 2-D Separable Denominator Discrete-Time Systems
2581 -- 2591Filipe D. Baumgratz, Sandro Binsfeld Ferreira, Michiel S. J. Steyaert, Sergio Bampi, Filip Tavernier. 40-nm CMOS Wideband High-IF Receiver Using a Modified Charge-Sharing Bandpass Filter to Boost Q-Factor
2592 -- 2605Medhat Mohamad, Rickard Nilsson, Jaap van de Beek. A Novel Transmitter Architecture for Spectrally-Precoded OFDM
2606 -- 2616Dongyeob Shin, Jongsun Park 0001. A Low-Latency and Area-Efficient Gram-Schmidt-Based QRD Architecture for MIMO Receiver
2617 -- 2630Abdullah Abdulslam, Baker Mohammad, Mohammed Ismail, Patrick P. Mercier, Yehea Ismail. A 93% Peak Efficiency Fully-Integrated Multilevel Multistate Hybrid DC-DC Converter
2631 -- 2640Junchao Mu, Lianxi Liu. A 12 mV Input, 90.8% Peak Efficiency CRM Boost Converter With a Sub-Threshold Startup Voltage for TEG Energy Harvesting

Volume 65, Issue 10

1289 -- 0José M. de la Rosa, Edoardo Bonizzoni, Franco Maloberti. Guest Editorial Special Issue on the 2018 ISICAS: A CAS Journal Track Symposium
1290 -- 1294Chenyu Huang, Chenchang Zhan, Linjun He, Lidan Wang, Yang Nan. A 0.6-V Minimum-Supply, 23.5 ppm/°C Subthreshold CMOS Voltage Reference With 0.45% Variation Coefficient
1295 -- 1299Jinhyung Lee, Kwanseo Park, KwangHo Lee, Deog Kyoon Jeong. A 2.44-pJ/b 1.62-10-Gb/s Receiver for Next Generation Video Interface Equalizing 23-dB Loss With Adaptive 2-Tap Data DFE and 1-Tap Edge DFE
1300 -- 1304Ademola Mustapha, Dan Cracan, Rida Gadhafi, Mihai Sanduleanu. A V-Band Transceiver With Integrated Resonator and Receiver/Transmitter Antenna for Near-Field IoT
1305 -- 1309Andrea Bandiziol, Werner Grollitsch, Giovanni Steffan, Roberto Nonis, Pierpaolo Palestri. Design and Characterization of a 9.2-Gb/s Transceiver for Automotive Microcontroller Applications With 8-Taps FFE and 1-Tap Unrolled/4-Taps DFE
1310 -- 1314Paulo C. de Aguirre, Altamiro Amadeu Susin. A 0.6-V, 74.2-dB DR Continuous-Time Sigma-Delta Modulator With Inverter-Based Amplifiers
1315 -- 1319Jun Soo Cho, Cyuyeol Rhee, Susie Kim, Youngtae Yang, Jaehoon Jun, Suhwan Kim, Hyunjoong Lee. A 1.2-V 108.9-dB A-Weighted DR 101.4-dB SNDR Audio ΣΔ ADC Using a Multi-Rate Noise-Shaping Quantizer
1320 -- 1324Daisuke Fujimoto, Shota Nin, Yu-ichi Hayashi, Noriyuki Miura, Makoto Nagata, Tsutomu Matsumoto. A Demonstration of a HT-Detection Method Based on Impedance Measurements of the Wiring Around ICs
1325 -- 1329Kerim Ture, Arnout Devos, Franco Maloberti, Catherine Dehollain. Area and Power Efficient Ultra-Wideband Transmitter Based on Active Inductor
1330 -- 1334Jiawei Zheng, Lin Cheng 0001, Junmin Jiang, Wing-Hung Ki. Relaxation Oscillator With Dynamic Comparator and Slope-Boosting Technique
1335 -- 1339Hugo Serra, João P. Oliveira, Nuno Paulino. A 0.9-V Programmable Second-Order Bandpass Switched-Capacitor Filter for IoT Applications
1340 -- 1344Nazanin Neshatvar, Peter J. Langlois, Andreas Demosthenous. A Non-Linear Feedback Current Driver With Automatic Phase Compensation for Bioimpedance Applications
1345 -- 1349Maicon Schneider Cardoso, Gustavo H. Smaniotto, Andrei A. O. Bubolz, Matheus T. Moreira, Leomar S. da Rosa Jr., Felipe de Souza Marques. Libra: An Automatic Design Methodology for CMOS Complex Gates
1350 -- 1354Xiaopeng Zhong, Bo Zhang, Amine Bermak, Chi-Ying Tsui, Man Kay Law. A Low-Power Compression-Based CMOS Image Sensor With Microshift-Guided SAR ADC
1355 -- 1359Alessandro Finocchiaro, Giovanni Girlando, Alessandro Motta, Alberto Pagani, Egidio Ragonese, Giuseppe Palmisano. Wafer-Level Contactless Testing Based on UHF RFID Tags With Post-Process On-Chip Antennas
1360 -- 1364Kenya Hayashi, Shigeki Arata, Shunya Murakami, Yuya Nishio, Atsuki Kobayashi, Kiichi Niitsu. A 6.1-nA Fully Integrated CMOS Supply Modulated OOK Transmitter in 55-nm DDC CMOS for Glasses-Free, Self-Powered, and Fuel-Cell-Embedded Continuous Glucose Monitoring Contact Lens
1365 -- 1369Ting-I Chou, Kwuang-Han Chang, Jia-Yin Jhang, Shih-Wen Chiu, Guoxing Wang, Chia-Hsiang Yang, Herming Chiueh, Hsin Chen, Chih-Cheng Hsieh, Meng-Fan Chang, Kea-Tiong Tang. A 1-V 2.6-mW Environmental Compensated Fully Integrated Nose-on-a-Chip
1370 -- 1374Julian Warchall, Shiva Kaleru, Nidhi Jayapalan, Bijoor Nayak, Harinath Garudadri, Patrick P. Mercier. A 678-µW Frequency-Modulation-Based ADC With 104-dB Dynamic Range in 44-kHz Bandwidth
1375 -- 1379Hyukyeon Lee, Kyungmook Oh, Minjeong Cho, Yunseok Jang, Jaeseok Kim. Efficient Low-Latency Implementation of CORDIC-Based Sorted QR Decomposition for Multi-Gbps MIMO Systems
1380 -- 1384Jiaji Mao, Mingqiang Guo, Sai-Weng Sin, Rui Paulo Martins. A 14-Bit Split-Pipeline ADC With Self-Adjusted Opamp-Sharing Duty-Cycle and Bias Current
1385 -- 1389Chacko John Deepu, X. Y. Xu, D. L. T. Wong, Chun-Huat Heng, Yong Lian. A 2.3 µW ECG-On-Chip for Wireless Wearable Sensors
1390 -- 1394Yuting Hou, Khalil Yousef, Atef Mohamed, Guoxing Wang, Yong Lian. A 1-to-1-kHz, 4.2-to-544-nW, Multi-Level Comparator Based Level-Crossing ADC for IoT Applications
1395 -- 1399Gyu-Seob Jeong, Jeongho Hwang, Hong-Seok Choi, Hyungrok Do, Daehyun Koh, Daeyoung Yun, Jinhyung Lee, Kwanseo Park, Han-Gon Ko, KwangHo Lee, Jiho Joo, Gyungock Kim, Deog Kyoon Jeong. 25-Gb/s Clocked Pluggable Optics for High-Density Data Center Interconnections
1400 -- 1404Reza Ranjandish, Alexandre Schmid. A Sub-µW/Channel, 16-Channel Seizure Detection and Signal Acquisition SoC Based on Multichannel Compressive Sensing
1405 -- 1409Evdokia Pilavaki, Virgilio Valente, Andreas Demosthenous. CMOS Image Sensor for Lateral Flow Immunoassay Readers
1410 -- 1414Da Feng, Edoardo Bonizzoni, Franco Maloberti, Sai-Weng Sin, Rui Paulo Martins. A 10-MHz Bandwidth Two-Path Third-Order ΣΔ Modulator With Cross-Coupling Branches
1415 -- 1419Lin Bai, Yiming Zhao, Xinming Huang 0001. A CNN Accelerator on FPGA Using Depthwise Separable Convolution
1420 -- 1424Aref Trigui, Mohamed Ali, Ahmed Chiheb Ammari, Yvon Savaria, Mohamad Sawan. A 1.5-pJ/bit, 9.04-Mbit/s Carrier-Width Demodulator for Data Transmission Over an Inductive Link Supporting Power and Data Transfer
1425 -- 1429Alessandro Parisi, Alessandro Finocchiaro, Giuseppe Papotto, Giuseppe Palmisano. Nano-Power CMOS Voltage Reference for RF-Powered Systems
1430 -- 1434Weiwei Shi 0001, Hai-Peng Wang, Chiu-sing Choy, Junwei Yang, Mei Jiang, Robert K. F. Teng, Mingcheng Zhu. A 0.35 V 376 Mb/s Configurable Long Integer Multiplier for Subthreshold Encryption
1435 -- 1439Huan Wang 0009, Ronald W. Knepper, Jean-François Millithaler, Martin Margala. A Novel Terahertz Ballistic Deflection Transistor Travelling Wave Amplifier System
1440 -- 1444Rafael Garibotti, Brandon Reagen, Yakun Sophia Shao, Gu-Yeon Wei, David M. Brooks. Assisting High-Level Synthesis Improve SpMV Benchmark Through Dynamic Dependence Analysis
1445 -- 1449Ricardo Madeira, João P. Oliveira, Nuno Paulino. A 130 nm CMOS Power Management Unit With a Multi-Ratio Core SC DC-DC Converter for a Supercapacitor Power Supply
1450 -- 1454Ming Zhang 0007, Nicolas Llaser. Exploiting a Micro Pirani Gauge for Beyond Atmospheric Pressure Measurement
1455 -- 1459Alfio Dario Grasso, Gaetano Palumbo, Salvatore Pennisi. Switched-Capacitor Power Management Integrated Circuit for Autonomous Internet of Things Node
1460 -- 1464Mahsa Keshavarz Hedayati, Abdolali Abdipour, Reza Sarraf Shirazi, Cagri Cetintepe, Robert Bogdan Staszewski. A 33-GHz LNA for 5G Wireless Systems in 28-nm Bulk CMOS
1465 -- 1469Dongsheng Yu, Yisen Geng, Herbert H. C. Iu, Tyrone Fernando, Ruidong Xu. Pulse Phase Shift Based Low-Frequency Oscillation Suppression for PT Controlled CCM Buck Converter
1470 -- 1474Hongming Shen, Chen Xu, Yongjie Yang, Ling Sun, Zhitian Cai, Lin Bai, Edward Clancy, Xinming Huang 0001. Respiration and Heartbeat Rates Measurement Based on Autocorrelation Using IR-UWB Radar
1475 -- 1479Bo-Wei Peng, Chun-Yu Lin. Low-Loss I/O Pad With ESD Protection for K/Ka-Bands Applications in the Nanoscale CMOS Process
1480 -- 1484Ya-Jie Wu, Chi-Seng Lam, Man-Chung Wong, Sai-Weng Sin, Rui Paulo Martins. A Reconfigurable and Extendable Digital Architecture for Mixed Signal Power Electronics Controller
1485 -- 1489Zhe Zhang, Yongfu Li, Guoxing Wang, Yong Lian. The Design of an Energy-Efficient IR-UWB Transmitter With Wide-Output Swing and Sub-Microwatt Leakage Current