Reconfigurable Stream-based Tensor Unit with Variable-Precision Posit Arithmetic

Nuno Neves 0002, Pedro Tomás, Nuno Roma. Reconfigurable Stream-based Tensor Unit with Variable-Precision Posit Arithmetic. In 31st IEEE International Conference on Application-specific Systems, Architectures and Processors , ASAP 2020, Manchester, United Kingdom, July 6-8, 2020. pages 149-156, IEEE, 2020. [doi]

Abstract

Abstract is missing.