Boundary scan based interconnect testing design for silicon interposer in 2.5D ICs

Libao Deng, Ning Sun, Ning Fu. Boundary scan based interconnect testing design for silicon interposer in 2.5D ICs. Integration, 72:171-182, 2020. [doi]

Abstract

Abstract is missing.