An effective out-of-order execution control scheme for an embedded floating point coprocessor

Cheol-Ho Jeong, Woo-Chan Park, Tack-Don Han, Sung-Bong Yang, Moon Key Lee. An effective out-of-order execution control scheme for an embedded floating point coprocessor. Microprocessors and Microsystems, 27(4):171-180, 2003. [doi]

Abstract

Abstract is missing.