FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability

George Kalokerinos, Vassilis Papaefstathiou, George Nikiforos, Stamatis G. Kavadias, Manolis Katevenis, Dionisios N. Pnevmatikatos, Xiaojun Yang. FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability. In Walid A. Najjar, Michael J. Schulte, editors, Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2009), Samos, Greece, July 20-23, 2009. pages 149-156, IEEE, 2009. [doi]

Authors

George Kalokerinos

This author has not been identified. Look up 'George Kalokerinos' in Google

Vassilis Papaefstathiou

This author has not been identified. Look up 'Vassilis Papaefstathiou' in Google

George Nikiforos

This author has not been identified. Look up 'George Nikiforos' in Google

Stamatis G. Kavadias

This author has not been identified. Look up 'Stamatis G. Kavadias' in Google

Manolis Katevenis

This author has not been identified. Look up 'Manolis Katevenis' in Google

Dionisios N. Pnevmatikatos

This author has not been identified. Look up 'Dionisios N. Pnevmatikatos' in Google

Xiaojun Yang

This author has not been identified. Look up 'Xiaojun Yang' in Google