FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability

George Kalokerinos, Vassilis Papaefstathiou, George Nikiforos, Stamatis G. Kavadias, Manolis Katevenis, Dionisios N. Pnevmatikatos, Xiaojun Yang. FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability. In Walid A. Najjar, Michael J. Schulte, editors, Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2009), Samos, Greece, July 20-23, 2009. pages 149-156, IEEE, 2009. [doi]

Possibly Related Publications

The following publications are possibly variants of this publication: