Prototyping a Configurable Cache/Scratchpad Memory with Virtualized User-Level RDMA Capability

George Kalokerinos, Vassilis Papaefstathiou, George Nikiforos, Stamatis G. Kavadias, Xiaojun Yang, Dionisios N. Pnevmatikatos, Manolis Katevenis. Prototyping a Configurable Cache/Scratchpad Memory with Virtualized User-Level RDMA Capability. T. HiPEAC, 5:100-120, 2019. [doi]

No reviews for this publication, yet.