Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison

Mahdi Nikdast, Jiang Xu, Luan Huu Kinh Duong, Xiaowen Wu, Xuan Wang, Zhehui Wang, Zhe Wang, Peng Yang, Yaoyao Ye, Qinfen Hao. Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison. IEEE Trans. VLSI Syst., 23(11):2552-2565, 2015. [doi]

Abstract

Abstract is missing.