LFSR-Based Generation of Partially-Functional Broadside Tests

Irith Pomeranz. LFSR-Based Generation of Partially-Functional Broadside Tests. IEEE Transactions on Computers, 65(8):2659-2664, 2016. [doi]

Abstract

Abstract is missing.