Functional verification of power gate design in SystemC RTL

George Sobral Silveira, Alisson Vasconcelos De Brito, Elmar U. K. Melcher. Functional verification of power gate design in SystemC RTL. In Ivan Saraiva Silva, Renato Perez Ribas, Calvin Plett, editors, Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 03, 2009. ACM, 2009. [doi]

Abstract

Abstract is missing.