Workload-aware voltage regulator optimization for power efficient multi-core processors

Abhishek A. Sinkar, Hao Wang, Nam Sung Kim. Workload-aware voltage regulator optimization for power efficient multi-core processors. In Wolfgang Rosenstiel, Lothar Thiele, editors, 2012 Design, Automation & Test in Europe Conference & Exhibition, DATE 2012, Dresden, Germany, March 12-16, 2012. pages 1134-1137, IEEE, 2012. [doi]

Abstract

Abstract is missing.