17.1 A 10nm FinFET 128Mb SRAM with assist adjustment system for power, performance, and area optimization

Taejoong Song, Woojin Rim, Sunghyun Park, Yongho Kim, Jonghoon Jung, Giyong Yang, Sanghoon Baek, Jaeseung Choi, Bongjae Kwon, Yunwoo Lee, Sungbong Kim, Gyu-Hong Kim, Hyo-Sig Won, Ja-Hum Ku, Sunhom Steve Paak, E. S. Jung, Steve Sungho Park, Kinam Kim. 17.1 A 10nm FinFET 128Mb SRAM with assist adjustment system for power, performance, and area optimization. In 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016, San Francisco, CA, USA, January 31 - February 4, 2016. pages 306-307, IEEE, 2016. [doi]

Abstract

Abstract is missing.