HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic

Suriya Subramanian, Kathryn S. McKinley. HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic. In André Seznec, Joel S. Emer, Michael F. P. O Boyle, Margaret Martonosi, Theo Ungerer, editors, High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings. Volume 5409 of Lecture Notes in Computer Science, pages 308-323, Springer, 2009. [doi]

Abstract

Abstract is missing.