Filter cache: filtering useless cache blocks for a small but efficient shared last-level cache

Han Jun Bae, Lynn Choi. Filter cache: filtering useless cache blocks for a small but efficient shared last-level cache. The Journal of Supercomputing, 76(10):7521-7544, 2020. [doi]

@article{BaeC20-0,
  title = {Filter cache: filtering useless cache blocks for a small but efficient shared last-level cache},
  author = {Han Jun Bae and Lynn Choi},
  year = {2020},
  doi = {10.1007/s11227-020-03177-2},
  url = {https://doi.org/10.1007/s11227-020-03177-2},
  researchr = {https://researchr.org/publication/BaeC20-0},
  cites = {0},
  citedby = {0},
  journal = {The Journal of Supercomputing},
  volume = {76},
  number = {10},
  pages = {7521-7544},
}