Synchronous Interfaces and Assume/Guarantee Contracts

Albert Benveniste, Benoît Caillaud. Synchronous Interfaces and Assume/Guarantee Contracts. In Luca Aceto, Giorgio Bacci, Giovanni Bacci 0001, Anna Ingólfsdóttir, Axel Legay, Radu Mardare, editors, Models, Algorithms, Logics and Tools - Essays Dedicated to Kim Guldstrand Larsen on the Occasion of His 60th Birthday. Volume 10460 of Lecture Notes in Computer Science, pages 233-248, Springer, 2017. [doi]

@inproceedings{BenvenisteC17,
  title = {Synchronous Interfaces and Assume/Guarantee Contracts},
  author = {Albert Benveniste and Benoît Caillaud},
  year = {2017},
  doi = {10.1007/978-3-319-63121-9_12},
  url = {https://doi.org/10.1007/978-3-319-63121-9_12},
  researchr = {https://researchr.org/publication/BenvenisteC17},
  cites = {0},
  citedby = {0},
  pages = {233-248},
  booktitle = {Models, Algorithms, Logics and Tools - Essays Dedicated to Kim Guldstrand Larsen on the Occasion of His 60th Birthday},
  editor = {Luca Aceto and Giorgio Bacci and Giovanni Bacci 0001 and Anna Ingólfsdóttir and Axel Legay and Radu Mardare},
  volume = {10460},
  series = {Lecture Notes in Computer Science},
  publisher = {Springer},
  isbn = {978-3-319-63121-9},
}