Designing and Validating Process-Variation-Aware Cell Libraries

Ali Dasdan, Jinfeng Liu, Sridhar Tirumala, Kayhan Küçükçakar. Designing and Validating Process-Variation-Aware Cell Libraries. In 8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA. pages 761-770, IEEE Computer Society, 2007. [doi]

@inproceedings{DasdanLTK07,
  title = {Designing and Validating Process-Variation-Aware Cell Libraries},
  author = {Ali Dasdan and Jinfeng Liu and Sridhar Tirumala and Kayhan Küçükçakar},
  year = {2007},
  doi = {10.1109/ISQED.2007.59},
  url = {http://doi.ieeecomputersociety.org/10.1109/ISQED.2007.59},
  tags = {context-aware},
  researchr = {https://researchr.org/publication/DasdanLTK07},
  cites = {0},
  citedby = {0},
  pages = {761-770},
  booktitle = {8th International Symposium on Quality of Electronic Design (ISQED 2007), 26-28 March 2007, San Jose, CA, USA},
  publisher = {IEEE Computer Society},
  isbn = {978-0-7695-2795-6},
}