Genesis: A Hardware Acceleration Framework for Genomic Data Analysis

Tae Jun Ham, David Bruns-Smith, Brendan Sweeney, Yejin Lee, Seong Hoon Seo, U. Gyeong Song, Young H. Oh, Krste Asanovic, Jae W. Lee, Lisa Wu Wills. Genesis: A Hardware Acceleration Framework for Genomic Data Analysis. In 47th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2020, Valencia, Spain, May 30 - June 3, 2020. pages 254-267, IEEE, 2020. [doi]

@inproceedings{HamBSLSSOALW20,
  title = {Genesis: A Hardware Acceleration Framework for Genomic Data Analysis},
  author = {Tae Jun Ham and David Bruns-Smith and Brendan Sweeney and Yejin Lee and Seong Hoon Seo and U. Gyeong Song and Young H. Oh and Krste Asanovic and Jae W. Lee and Lisa Wu Wills},
  year = {2020},
  doi = {10.1109/ISCA45697.2020.00031},
  url = {https://doi.org/10.1109/ISCA45697.2020.00031},
  researchr = {https://researchr.org/publication/HamBSLSSOALW20},
  cites = {0},
  citedby = {0},
  pages = {254-267},
  booktitle = {47th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2020, Valencia, Spain, May 30 - June 3, 2020},
  publisher = {IEEE},
  isbn = {978-1-7281-4661-4},
}