Performance evaluation for a compressed-VLIW processor

Sunghyun Jee, Kannappan Palaniappan. Performance evaluation for a compressed-VLIW processor. In Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), March 10-14, 2002, Madrid, Spain. pages 913-917, ACM, 2002. [doi]

@inproceedings{JeeP02:1,
  title = {Performance evaluation for a compressed-VLIW processor},
  author = {Sunghyun Jee and Kannappan Palaniappan},
  year = {2002},
  doi = {10.1145/508791.508967},
  url = {http://doi.acm.org/10.1145/508791.508967},
  researchr = {https://researchr.org/publication/JeeP02%3A1},
  cites = {0},
  citedby = {0},
  pages = {913-917},
  booktitle = {Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), March 10-14, 2002, Madrid, Spain},
  publisher = {ACM},
}