Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond

Andrew B. Kahng. Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond. In Laleh Behjat, Stephen Yang, editors, ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022. pages 73-79, ACM, 2022. [doi]

@inproceedings{Kahng22,
  title = {Leveling Up: A Trajectory of OpenROAD, TILOS and Beyond},
  author = {Andrew B. Kahng},
  year = {2022},
  doi = {10.1145/3505170.3511479},
  url = {https://doi.org/10.1145/3505170.3511479},
  researchr = {https://researchr.org/publication/Kahng22},
  cites = {0},
  citedby = {0},
  pages = {73-79},
  booktitle = {ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022},
  editor = {Laleh Behjat and Stephen Yang},
  publisher = {ACM},
  isbn = {978-1-4503-9210-5},
}