On the Effects of Process Variation in Network-on-Chip Architectures

Chrysostomos Nicopoulos, Suresh Srinivasan, Aditya Yanamandra, Dongkook Park, Vijaykrishnan Narayanan, Chita R. Das, Mary Jane Irwin. On the Effects of Process Variation in Network-on-Chip Architectures. IEEE Trans. Dependable Sec. Comput., 7(3):240-254, 2010. [doi]

Authors

Chrysostomos Nicopoulos

This author has not been identified. Look up 'Chrysostomos Nicopoulos' in Google

Suresh Srinivasan

This author has not been identified. Look up 'Suresh Srinivasan' in Google

Aditya Yanamandra

This author has not been identified. Look up 'Aditya Yanamandra' in Google

Dongkook Park

This author has not been identified. Look up 'Dongkook Park' in Google

Vijaykrishnan Narayanan

This author has not been identified. Look up 'Vijaykrishnan Narayanan' in Google

Chita R. Das

This author has not been identified. Look up 'Chita R. Das' in Google

Mary Jane Irwin

This author has not been identified. Look up 'Mary Jane Irwin' in Google