Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon

Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, Jörg Henkel. Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon. IEEE Transactions on Computers, 66(1):147-162, 2017. [doi]

@article{PaganiKCSLH17,
  title = {Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon},
  author = {Santiago Pagani and Heba Khdr and Jian-Jia Chen and Muhammad Shafique and Minming Li and Jörg Henkel},
  year = {2017},
  doi = {10.1109/TC.2016.2564969},
  url = {http://doi.ieeecomputersociety.org/10.1109/TC.2016.2564969},
  researchr = {https://researchr.org/publication/PaganiKCSLH17},
  cites = {0},
  citedby = {0},
  journal = {IEEE Transactions on Computers},
  volume = {66},
  number = {1},
  pages = {147-162},
}