Callback: efficient synchronization without invalidation with a directory just for spin-waiting

Alberto Ros, Stefanos Kaxiras. Callback: efficient synchronization without invalidation with a directory just for spin-waiting. In Deborah T. Marr, David H. Albonesi, editors, Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015. pages 427-438, ACM, 2015. [doi]

Authors

Alberto Ros

This author has not been identified. Look up 'Alberto Ros' in Google

Stefanos Kaxiras

This author has not been identified. Look up 'Stefanos Kaxiras' in Google