DVFS for NoCs in CMPs: A thread voting approach

Yuan Yao, Zhonghai Lu. DVFS for NoCs in CMPs: A thread voting approach. In 2016 IEEE International Symposium on High Performance Computer Architecture, HPCA 2016, Barcelona, Spain, March 12-16, 2016. pages 309-320, IEEE Computer Society, 2016. [doi]

@inproceedings{YaoL16,
  title = {DVFS for NoCs in CMPs: A thread voting approach},
  author = {Yuan Yao and Zhonghai Lu},
  year = {2016},
  doi = {10.1109/HPCA.2016.7446074},
  url = {http://doi.ieeecomputersociety.org/10.1109/HPCA.2016.7446074},
  researchr = {https://researchr.org/publication/YaoL16},
  cites = {0},
  citedby = {0},
  pages = {309-320},
  booktitle = {2016 IEEE International Symposium on High Performance Computer Architecture, HPCA 2016, Barcelona, Spain, March 12-16, 2016},
  publisher = {IEEE Computer Society},
  isbn = {978-1-4673-9211-2},
}