Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint

Ying-Lin Zhao, Jianlei Yang, Weisheng Zhao, Aida Todri-Sanial, Yuanqing Cheng. Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint. J. Comput. Sci. Technol., 33(5):966-983, 2018. [doi]

@article{ZhaoYZTC18,
  title = {Power Supply Noise Aware Task Scheduling on Homogeneous 3D MPSoCs Considering the Thermal Constraint},
  author = {Ying-Lin Zhao and Jianlei Yang and Weisheng Zhao and Aida Todri-Sanial and Yuanqing Cheng},
  year = {2018},
  doi = {10.1007/s11390-018-1868-6},
  url = {https://doi.org/10.1007/s11390-018-1868-6},
  researchr = {https://researchr.org/publication/ZhaoYZTC18},
  cites = {0},
  citedby = {0},
  journal = {J. Comput. Sci. Technol.},
  volume = {33},
  number = {5},
  pages = {966-983},
}