GCS: High-performance gate-level simulation with GPGPUs

Debapriya Chatterjee, Andrew DeOrio, Valeria Bertacco. GCS: High-performance gate-level simulation with GPGPUs. In Design, Automation and Test in Europe, DATE 2009, Nice, France, April 20-24, 2009. pages 1332-1337, IEEE, 2009. [doi]

Abstract

Abstract is missing.