Journal: Embedded Systems Letters

Volume 11, Issue 4

101 -- 0Francesco Regazzoni, Arquimedes Canedo, Mohammad Abdullah Al Faruque. Guest Editors' Introduction
102 -- 105Saurav Kumar Ghosh, Soumyajit Dey, Debdeep Mukhopadhyay. Performance, Security Tradeoffs in Secure Control
106 -- 110Johanna Sepúlveda, Shiyang Liu, Jose M. Bermudo Mera. Post-Quantum Enabled Cyber Physical Systems
111 -- 114Fredrik Asplund, John A. McDermid, Robert Oates, Jonathan Roberts. Rapid Integration of CPS Security and Safety
115 -- 118Mael Gay, Batya Karp, Osnat Keren, Ilia Polian. Toward Error-Correcting Architectures for Cryptographic Circuits Based on Rabii-Keren Codes
119 -- 122Walaa M. Elsayed, Hazem M. El-Bakry, Salah M. El-Sayed. Data Reduction Using Integrated Adaptive Filters for Energy-Efficient in the Clusters of Wireless Sensor Networks
123 -- 126Kuladeep Sai Reddy, Kizheppatt Vipin. OpenNoC: An Open-Source NoC Infrastructure for FPGA-Based Hardware Acceleration

Volume 11, Issue 3

69 -- 72Claudio Rubattu, Francesca Palumbo, Carlo Sau, Rubén Salvador, Jocelyn Sérot, Karol Desnos, Luigi Raffo, Maxime Pelcat. Dataflow-Functional High-Level Synthesis for Coarse-Grained Reconfigurable Accelerators
73 -- 76Hamed Zandevakili, Ali Mahani. Memristor-Based Hybrid Fault Tolerant Structure With Concurrent Reconfigurability
77 -- 80Federico Reghenzani, Giuseppe Massari, William Fornaciari. The Misconception of Exponential Tail Upper-Bounding in Probabilistic Real Time
81 -- 84Yang Song, Bill Lin. Uniform Minimal First: Latency Reduction in Throughput-Optimal Oblivious Routing for Mesh-Based Networks-on-Chip
85 -- 88Aditya Pradeep, Vishal Mohanty, Adarsh M. Subramaniam, Chester Rebeiro. Revisiting AES SBox Composite Field Implementations for FPGAs
89 -- 92Hassan Mahmood, Zahid Ullah, Omer Mujahid, Inayat Ullah, Abdul Hafeez. Beyond the Limits of Typical Strategies: Resources Efficient FPGA-Based TCAM
93 -- 96Gereon Führ, Seyit Halil Hamurcu, Diego Pala, Thomas Grass, Rainer Leupers, Gerd Ascheid, Juan Fernando Eusse. Automatic Energy-Minimized HW/SW Partitioning for FPGA-Accelerated MPSoCs
97 -- 100Jing Yan, Xuyang Tian, Xiaoyuan Luo, Xinping Guan. Design of an Embedded Communication System for Underwater Asynchronous Localization

Volume 11, Issue 2

33 -- 0Arvind Easwaran. Guest Editor Introduction
34 -- 37Abhishek Dubey, Gabor Karsai, Péter Völgyesi, Mary Metelko, István Madari, Hao Tu, Yuhua Du, Srdjan Lukic. Device Access Abstractions for Resilient Information Architecture Platform for Smart Grid
38 -- 41Volkmar Sieh, Robert Burlacu, Timo Hönig, Heiko Janker, Phillip Raffeck, Peter Wägemann, Wolfgang Schröder-Preikschat. Combining Automated Measurement-Based Cost Modeling With Static Worst-Case Execution-Time and Energy-Consumption Analyses
42 -- 45Boris Dreyer, Christian Hochberger, Thomas Ballenthin, Simon Wegener. Iterative Histogram-Based Performance Analysis of Embedded Systems
46 -- 49Leandro Poloni Dantas, Rodolfo Jardim de Azevedo, Salvador Pinillos Gimenez. A Novel Processor Architecture With a Hardware Microkernel to Improve the Performance of Task-Based Systems
50 -- 53Loris Duch, Soumya Basu, Miguel Peón Quirós, Giovanni Ansaloni, Laura Pozzi, David Atienza. i-DPs CGRA: An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-Signal Processing
54 -- 57Anuj Pathania, Jörg Henkel. HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems
58 -- 61Oswaldo Cadenas, Graham M. Megson. Running Median Algorithm and Implementation for Integer Streaming Applications
62 -- 65Yonghee Yun, Sodam Han, Young-Hwan Kim. Estimation of Maximum Speed-Up in Multicore-Based Mobile Devices

Volume 11, Issue 1

1 -- 4Shanshan Wang, Chenglong Xiao, Wanjun Liu. Parallel Enumeration of Custom Instructions Based on Multidepth Graph Partitioning
5 -- 8Sidharta Andalam, Daniel Jun Xian Ng, Arvind Easwaran, Karthikeyan Thangamariappan. Contract-Based Methodology for Developing Resilient Cyber-Infrastructure in the Industry 4.0 Era
9 -- 12Mohammad Motamedi, Daniel Fong, Soheil Ghiasi. Cappuccino: Efficient CNN Inference Software Synthesis for Mobile System-on-Chips
13 -- 16Niels Reijers, Joshua Ellul, Chi-Sheng Shih. Making Sensor Node Virtual Machines Work for Real-World Applications
17 -- 20Sedigheh Asyaban, Mehdi Kargahi. Feasibility Interval for Fixed-Priority Scheduling of Mixed-Criticality Periodic Tasks With Offsets
21 -- 24Yuteng Zhou, Yecheng Lyu, Xinming Huang 0001. RoadNet: An 80-mW Hardware Accelerator for Road Detection
25 -- 28Emanuele Lattanzi, Valerio Freschi. A Hardware Compensation Mechanism for Embedded Energy Harvesting Emulation
29 -- 32Kalyan Baital, Amlan Chakrabarti. Dynamic Scheduling of Real-Time Tasks in Heterogeneous Multicore Systems