Journal: IEEE Micro

Volume 38, Issue 6

4 -- 5Lieven Eeckhout. Hardware Acceleration and a Grateful Goodbye
6 -- 7Martha Kim, Yakun Sophia Shao. Hardware Acceleration
8 -- 17Ronaldo Husemann, Altamiro Amadeu Susin, Valter Roesler. Optimized Solution to Accelerate in Hardware an Intra H.264/SVC Video Encoder
18 -- 24Naif Tarafdar, Nariman Eskandari, Varun Sharma, Charles Lo, Paul Chow. Galapagos: A Full Stack Approach to FPGA Integration in the Cloud
25 -- 35Hyoukjun Kwon, Ananda Samajdar, Tushar Krishna. A Communication-Centric Approach for Designing Flexible DNN Accelerators
36 -- 45Davide Giri, Paolo Mantovani, Luca P. Carloni. Accelerators and Coherence: An SoC Perspective
46 -- 55Sergi Alcaide, Leonidas Kosmidis, Hamid Tabani, Carles Hernández, Jaume Abella, Francisco J. Cazorla. Safety-Related Challenges and Opportunities for GPUs in the Automotive Domain
56 -- 62Wen-mei Hwu, Sanjay J. Patel. Accelerator Architectures A Ten-Year Retrospective
63 -- 72Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique 0001. Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures
73 -- 82Renyuan Zhang, Noriyuki Uetake, Takashi Nakada, Yasuhiko Nakashima. Design of Programmable Analog Calculation Unit by Implementing Support Vector Regression for Approximate Computing
83 -- 84Sandhya Dwarkadas. 2018 Maurice Wilkes Award Given to Gabriel Loh
86 -- 88Shane Greenstein. Organized for Cycles of Change

Volume 38, Issue 5

4 -- 0Lieven Eeckhout. Memristors and More
5 -- 6Lizy K. John, Earl E. Swartzlander Jr.. Memristor-Based Computing
7 -- 12Leon O. Chua. Memristor: Remembrance of Things Past
13 -- 21Ameer Haj Ali, Rotem Ben Hur, Nimrod Wald, Ronny Ronen, Shahar Kvatinsky. Not in Name Alone: A Memristive Memory Processing Unit for Real In-Memory Processing
22 -- 31Onur Tunali, Muhammed Ceylan Morgül, Mustafa Altun. Defect-Tolerant Logic Synthesis for Memristor Crossbars with Performance Evaluation
32 -- 40Leonid Yavits, Roman Kaplan, Ran Ginosar. Enabling Full Associativity with Memristive Address Decoder
41 -- 49Anirban Nag, Rajeev Balasubramonian, Vivek Srikumar, Ross Walker, Ali Shafiee, John Paul Strachan, Naveen Muralimanohar. Newton: Gravitating Towards the Physical Limits of Crossbar Acceleration
50 -- 52Deji Akinwande. Memory, Memristors, and Atomristors
53 -- 62Xiebing Wang, Kai Huang 0001, Long Chen 0005, Alois Knoll. h²ECU: A High-Performance and Heterogeneous Electronic Control Unit for Automated Driving
63 -- 74George Kornaros, Othon Tomoutzoglou, Marcello Coppola. Hardware-Assisted Security in Electronic Control Units: Secure Automotive Communications by Utilizing One-Time-Programmable Network on Chip and Firewalls
75 -- 84Takumi Maruyama, Yasunobu Akizuki, Takekazu Tabata, Kenichi Kitamura, Noriko Takagi, Hiroyuki Ishii, Shingo Watanabe, Fumihiro Tawa. SPARC64 XII: Fujitsu's Latest 12-Core Processor for Mission-Critical Servers
85 -- 93Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, Hoi-Jun Yoo. DNPU: An Energy-Efficient Deep-Learning Processor with Heterogeneous Multi-Core Architecture
94 -- 96Shane Greenstein. Free Software without a Free Lunch or Free Beer

Volume 38, Issue 4

6 -- 7Lieven Eeckhout. Approximate Computing, Intelligent Computing
8 -- 10Natalie D. Enright Jerger, Joshua San Miguel. Approximate Computing
11 -- 19Kaisheng Ma, Jinyang Li 0002, Xueqing Li, Yongpan Liu, Yuan Xie 0001, Mahmut T. Kandemir, Jack Sampson, Vijaykrishnan Narayanan. IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors
20 -- 29Phillip Stanley-Marbell, Martin Rinard. Perceived-Color Approximation Transforms for Programs that Draw
30 -- 39Serif Yesil, Ismail Akturk, Ulya R. Karpuzcu. Toward Dynamic Precision Scaling
40 -- 49Vasileios Leon, Georgios Zervakis, Sotirios Xydis, Dimitrios Soudris, Kiamal Z. Pekmestzi. Walking through the Energy-Error Pareto Frontier of Approximate Multipliers
50 -- 59Zhenhong Liu, Amir Yazdanbakhsh, Taejoon Park, Hadi Esmaeilzadeh, Nam Sung Kim. SiMul: An Algorithm-Driven Approximate Multiplier Design for Machine Learning
60 -- 70Georgios Tziantzioulis, Nikos Hardavellas, Simone Campanoni. Temporal Approximate Function Memoization
71 -- 75Hank Levy, Susan J. Eggers. Susan Eggers Receives Eckert-Mauchly Award for Outstanding Contributions to Computer Architecture
76 -- 77Antonio Gonzalez. 2018 International Symposium on Computer Architecture Influential Paper Award
78 -- 80Shane Greenstein. The Technology Tel

Volume 38, Issue 3

4 -- 0Lieven Eeckhout. Top Picks
5 -- 9Thomas F. Wenisch. Top Picks from the 2017 Computer Architecture Conferences
10 -- 19Norman P. Jouppi, Cliff Young, Nishant Patil, David A. Patterson. Motivation for and Evaluation of the First Tensor Processing Unit
20 -- 31Raghu Prabhakar, Yaqi Zhang, David Koeplinger, Matthew Feldman, Tian Zhao, Stefan Hadjis, Ardavan Pedram, Christos Kozyrakis, Kunle Olukotun. Plasticine: A Reconfigurable Accelerator for Parallel Patterns
32 -- 39Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar 0002, John Sartori. Bespoke Processors for Applications with Ultra-Low Area and Power Constraints
40 -- 47Xiang Fu, M. A. Rol, C. C. Bultink, J. van Someren, Nader Khammassi, Imran Ashraf, R. F. L. Vermeulen, J. C. de Sterke, W. J. Vlothuizen, R. N. Schouten, Carmen G. Almudéver, L. DiCarlo, Koen Bertels. A Microarchitecture for a Superconducting Quantum Processor
48 -- 57Stefanos Kaxiras, Trevor E. Carlson, Mehdi Alipour, Alberto Ros. Non-Speculative Load Reordering in Total Store Ordering
58 -- 68Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, Margaret Martonosi. Full-Stack Memory Model Verification with TriCheck
69 -- 78Abhishek Bhattacharjee. Breaking the Address Translation Wall by Accelerating Memory Replays
79 -- 85Masoumeh Ebrahimi, Masoud Daneshtalab. A General Methodology on Designing Acyclic Channel Dependency Graphs in Interconnection Networks
86 -- 97Christina Delimitrou, Christos Kozyrakis. Uncovering the Security Implications of Cloud Multi-Tenancy with Bolt
98 -- 106Adrian Tang, Simha Sethumadhavan, Salvatore J. Stolfo. Motivating Security-Aware Energy Management
107 -- 115Joseph McMahan, Michael Christensen, Lawton Nichols, Jared Roesch, Sung-Yee Guo, Ben Hardekopf, Timothy Sherwood. An Architecture for Analysis
116 -- 125Weilong Cui, Timothy Sherwood. Architectural Risk
126 -- 128Shane Greenstein. Adjusting to Autonomous Trucking

Volume 38, Issue 2

6 -- 7Lieven Eeckhout. Hot Chips 29
8 -- 20Eric S. Chung, Jeremy Fowers, Kalin Ovtcharov, Michael Papamichael, Adrian M. Caulfield, Todd Massengill, Ming Liu, Daniel Lo, Shlomi Alkalay, Michael Haselman, Maleen Abeydeera, Logan Adams, Hari Angepat, Christian Boehn, Derek Chiou, Oren Firestein, Alessandro Forin, Kang Su Gatlin, Mahdi Ghandi, Stephen Heil, Kyle Holohan, Ahmad El Husseini, Tamás Juhász, Kara Kagi, Ratna Kovvuri, Sitaram Lanka, Friedel van Megen, Dima Mukhortov, Prerak Patel, Brandon Perez, Amanda Rapsang, Steven K. Reinhardt, Bita Rouhani, Adam Sapek, Raja Seera, Sangeetha Shekar, Balaji Sridharan, Gabriel Weisz, Lisa Woods, Phillip Yi Xiao, Dan Zhang, Ritchie Zhao, Doug Burger. Serving DNNs in Real Time at Datacenter Scale with Project Brainwave
21 -- 29Jeff Dean, David Patterson, Cliff Young. A New Golden Age in Computer Architecture: Empowering the Machine-Learning Revolution
30 -- 41Scott Davidson, Shaolin Xie, Christopher Torng, Khalid Al-Hawai, Austin Rovinski, Tutu Ajayi, Luis Vega, Chun Zhao, Ritchie Zhao, Steve Dai, Aporva Amarnath, Bandhav Veluri, Paul Gao, Anuj Rao, Gai Liu, Rajesh K. Gupta, Zhiru Zhang, Ronald G. Dreslinski, Christopher Batten, Michael Bedford Taylor. The Celerity Open-Source 511-Core RISC-V Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips
42 -- 52Jack Choquette, Olivier Giroux, Denis Foley. Volta: Performance and Programmability
53 -- 60John Sell. The Xbox One X Scorpio Engine
61 -- 71Brendan Farley, John McGrath, Christophe Erdmann. An All-Programmable 16-nm RFSoC for Digital-RF Communications
72 -- 80Shanker Shreejith, Suhaib A. Fahmy. Smart Network Interfaces for Advanced Automotive Applications

Volume 38, Issue 1

4 -- 5Lieven Eeckhout. Automotive Computing, Neuromorphic Computing, and Beyond
6 -- 21Vijay Janapa Reddi, Hongil Yoon, Allan Knies. Two Billion Devices and Counting
22 -- 28Xing Hu, Dylan Stow, Yuan Xie 0001. Die Stacking Is Happening
29 -- 30Hsien-Hsin Sean Lee, Jason Clemons. Automotive Computing
31 -- 40Ting-Wu Chin, Chia-Lin Yu, Matthew Halpern, Hasan Genc, Shiao Li Tsao, Vijay Janapa Reddi. Domain-Specific Approximation for Object Detection
41 -- 55Andreas Moshovos, Jorge Albericio, Patrick Judd, Alberto Delmas Lascorz, Sayeh Sharify, Tayler H. Hetherington, Tor M. Aamodt, Natalie D. Enright Jerger. Value-Based Deep-Learning Acceleration
56 -- 65Enrico Mezzetti, Leonidas Kosmidis, Jaume Abella, Francisco J. Cazorla. High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V
66 -- 81Norman Chang, Stephen H. Pan, Karthik Srinivasan, Zhigang Feng, Wenbo Xia, Tim Pawlak, David Geb. Emerging ADAS Thermal Reliability Needs and Solutions
82 -- 99Mike Davies, Narayan Srinivasa, Tsung-Han Lin, Gautham Chinya, Yongqiang Cao, Sri Harsha Choday, Georgios D. Dimou, Prasad Joshi, Nabil Imam, Shweta Jain, Yuyun Liao, Chit-Kwan Lin, Andrew Lines, Ruokun Liu, Deepak Mathaikutty, Steven McCoy, Arnab Paul, Jonathan Tse, Guruguhanathan Venkataramanan, Yi-Hsin Weng, Andreas Wild, Yoonseok Yang, Hong Wang. Loihi: A Neuromorphic Manycore Processor with On-Chip Learning
100 -- 114Richard H. Stern. FTC and Apple Sue Qualcomm for Cell Phone Standardization Skullduggery, Part 4
115 -- 117Onur Mutlu, Scott A. Mahlke, Thomas M. Conte, Wen-mei W. Hwu. Iterative Modulo Scheduling
118 -- 120Shane Greenstein. The Paradox of Technological Déjà Vu