NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution

Kaveh Aasaraai, Andreas Moshovos. NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution. Int. J. Reconfig. Comp., 2012, 2012. [doi]

@article{AasaraaiM12-0,
  title = {NCOR: An FPGA-Friendly Nonblocking Data Cache for Soft Processors with Runahead Execution},
  author = {Kaveh Aasaraai and Andreas Moshovos},
  year = {2012},
  doi = {10.1155/2012/915178},
  url = {http://dx.doi.org/10.1155/2012/915178},
  researchr = {https://researchr.org/publication/AasaraaiM12-0},
  cites = {0},
  citedby = {0},
  journal = {Int. J. Reconfig. Comp.},
  volume = {2012},
}