Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project

Tutu Ajayi, Vidya A. Chhabria, Mateus Fogaça, Soheil Hashemi, Abdelrahman Hosny, Andrew B. Kahng, Minsoo Kim, Jeongsup Lee, Uday Mallappa, Marina Neseem, Geraldo Pradipta, Sherief Reda, Mehdi Saligane, Sachin S. Sapatnekar, Carl Sechen, Mohamed Shalan, William Swartz, Lutong Wang, Zhehong Wang, Mingyu Woo, Bangqi Xu. Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project. In Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019. pages 76, ACM, 2019. [doi]

@inproceedings{AjayiCFHHKKLMNP19,
  title = {Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project},
  author = {Tutu Ajayi and Vidya A. Chhabria and Mateus Fogaça and Soheil Hashemi and Abdelrahman Hosny and Andrew B. Kahng and Minsoo Kim and Jeongsup Lee and Uday Mallappa and Marina Neseem and Geraldo Pradipta and Sherief Reda and Mehdi Saligane and Sachin S. Sapatnekar and Carl Sechen and Mohamed Shalan and William Swartz and Lutong Wang and Zhehong Wang and Mingyu Woo and Bangqi Xu},
  year = {2019},
  doi = {10.1145/3316781.3326334},
  url = {https://doi.org/10.1145/3316781.3326334},
  researchr = {https://researchr.org/publication/AjayiCFHHKKLMNP19},
  cites = {0},
  citedby = {0},
  pages = {76},
  booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, DAC 2019, Las Vegas, NV, USA, June 02-06, 2019},
  publisher = {ACM},
  isbn = {978-1-4503-6725-7},
}