DUB: dynamic underclocking and bypassing in nocs for heterogeneous GPU workloads

Srikant Bharadwaj, Shomit Das, Yasuko Eckert, Mark Oskin, Tushar Krishna. DUB: dynamic underclocking and bypassing in nocs for heterogeneous GPU workloads. In Tushar Krishna, John Kim, Sergi Abadal, Joshua San Miguel, editors, NOCS '21: International Symposium on Networks-on-Chip, Virtual Event, October 14-15, 2021. pages 49-54, ACM, 2021. [doi]

Abstract

Abstract is missing.