Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors

Keith A. Bowman, Alaa R. Alameldeen, Srikanth T. Srinivasan, Chris Wilkerson. Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors. IEEE Trans. VLSI Syst., 17(12):1679-1690, 2009. [doi]

@article{BowmanASW09,
  title = {Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors},
  author = {Keith A. Bowman and Alaa R. Alameldeen and Srikanth T. Srinivasan and Chris Wilkerson},
  year = {2009},
  doi = {10.1109/TVLSI.2008.2006057},
  url = {http://dx.doi.org/10.1109/TVLSI.2008.2006057},
  researchr = {https://researchr.org/publication/BowmanASW09},
  cites = {0},
  citedby = {0},
  journal = {IEEE Trans. VLSI Syst.},
  volume = {17},
  number = {12},
  pages = {1679-1690},
}