DARP: Dynamically Adaptable Resilient Pipeline design in microprocessors

Hu Chen, Sanghamitra Roy, Koushik Chakraborty. DARP: Dynamically Adaptable Resilient Pipeline design in microprocessors. In Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014. pages 1-6, IEEE, 2014. [doi]

Authors

Hu Chen

This author has not been identified. Look up 'Hu Chen' in Google

Sanghamitra Roy

This author has not been identified. Look up 'Sanghamitra Roy' in Google

Koushik Chakraborty

This author has not been identified. Look up 'Koushik Chakraborty' in Google