Using a Soft Core in a SoC Design: Experiences with picoJava

Sujit Dey, Debashis Panigrahi, Li Chen, Clark N. Taylor, Krishna Sekar, Pablo Sanchez. Using a Soft Core in a SoC Design: Experiences with picoJava. IEEE Design & Test of Computers, 17(3):60-71, 2000. [doi]

References

No references recorded for this publication.

Cited by

No citations of this publication recorded.