Clock Tree Construction based on Arrival Time Constraints

Rickard Ewetz, Cheng-Kok Koh. Clock Tree Construction based on Arrival Time Constraints. In Mustafa Ozdal, Chris Chu, editors, Proceedings of the 2017 ACM on International Symposium on Physical Design, ISDP 2017, Portland, OR, USA, March 19-22, 2017. pages 67-74, ACM, 2017. [doi]

@inproceedings{EwetzK17-0,
  title = {Clock Tree Construction based on Arrival Time Constraints},
  author = {Rickard Ewetz and Cheng-Kok Koh},
  year = {2017},
  doi = {10.1145/3036669.3036671},
  url = {http://doi.acm.org/10.1145/3036669.3036671},
  researchr = {https://researchr.org/publication/EwetzK17-0},
  cites = {0},
  citedby = {0},
  pages = {67-74},
  booktitle = {Proceedings of the 2017 ACM on International Symposium on Physical Design, ISDP 2017, Portland, OR, USA, March 19-22, 2017},
  editor = {Mustafa Ozdal and Chris Chu},
  publisher = {ACM},
  isbn = {978-1-4503-4696-2},
}