An analytic placer for mixed-size placement and timing-driven placement

Andrew B. Kahng, Qinke Wang. An analytic placer for mixed-size placement and timing-driven placement. In 2004 International Conference on Computer-Aided Design (ICCAD 04), November 7-11, 2004, San Jose, CA, USA. pages 565-572, IEEE Computer Society / ACM, 2004. [doi]

Abstract

Abstract is missing.