Power-efficient accelerator allocation in adaptive dark silicon many-core systems

Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel. Power-efficient accelerator allocation in adaptive dark silicon many-core systems. In Wolfgang Nebel, David Atienza, editors, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015. pages 916-919, ACM, 2015. [doi]

@inproceedings{KhanSH15,
  title = {Power-efficient accelerator allocation in adaptive dark silicon many-core systems},
  author = {Muhammad Usman Karim Khan and Muhammad Shafique and Jörg Henkel},
  year = {2015},
  url = {http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=7092518},
  researchr = {https://researchr.org/publication/KhanSH15},
  cites = {0},
  citedby = {0},
  pages = {916-919},
  booktitle = {Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015},
  editor = {Wolfgang Nebel and David Atienza},
  publisher = {ACM},
  isbn = {978-3-9815370-4-8},
}