Power-efficient accelerator allocation in adaptive dark silicon many-core systems

Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel. Power-efficient accelerator allocation in adaptive dark silicon many-core systems. In Wolfgang Nebel, David Atienza, editors, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015. pages 916-919, ACM, 2015. [doi]

No reviews for this publication, yet.