FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit

Mate Kovac, Leon Dragic, Branimir Malnar, Francesco Minervini, Oscar Palomar, Carlos Rojas, Mauro Olivieri, Josip Knezovic, Mario Kovac. FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit. Microprocessors and Microsystems, 97:104762, March 2023. [doi]

Abstract

Abstract is missing.