ECO cost measurement and incremental gate sizing for late process changes

John Lee, Puneet Gupta. ECO cost measurement and incremental gate sizing for late process changes. ACM Trans. Design Autom. Electr. Syst., 18(1):16, 2012. [doi]

Possibly Related Publications

The following publications are possibly variants of this publication: