Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining Machines

Chien Pang Lu, Iris Hui-Ru Jiang, Chih-Wen Yang. Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining Machines. In Laleh Behjat, Stephen Yang, editors, ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022. pages 13-20, ACM, 2022. [doi]

Abstract

Abstract is missing.