Testability-Enhancing Resynthesis of Reconfigurable Scan Networks

Natalia Lylina, Chih-Hao Wang, Hans-Joachim Wunderlich. Testability-Enhancing Resynthesis of Reconfigurable Scan Networks. In IEEE International Test Conference, ITC 2021, Anaheim, CA, USA, October 10-15, 2021. pages 20-29, IEEE, 2021. [doi]

References

No references recorded for this publication.

Cited by

No citations of this publication recorded.