Towards Optimizing Refresh Energy in embedded-DRAM Caches using Private Blocks

Sheel Sindhu Manohar, Sukarn Agarwal, Hemangee K. Kapoor. Towards Optimizing Refresh Energy in embedded-DRAM Caches using Private Blocks. In Houman Homayoun, Baris Taskin, Tinoosh Mohsenin, Weisheng Zhao, editors, Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019. pages 225-230, ACM, 2019. [doi]

Abstract

Abstract is missing.