Router designs for elastic buffer on-chip networks

George Michelogiannakis, William J. Dally. Router designs for elastic buffer on-chip networks. In Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA. ACM, 2009. [doi]

@inproceedings{MichelogiannakisD09,
  title = {Router designs for elastic buffer on-chip networks},
  author = {George Michelogiannakis and William J. Dally},
  year = {2009},
  doi = {10.1145/1654059.1654062},
  url = {http://doi.acm.org/10.1145/1654059.1654062},
  tags = {routing},
  researchr = {https://researchr.org/publication/MichelogiannakisD09},
  cites = {0},
  citedby = {0},
  booktitle = {Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA},
  publisher = {ACM},
  isbn = {978-1-60558-744-8},
}