Router designs for elastic buffer on-chip networks

George Michelogiannakis, William J. Dally. Router designs for elastic buffer on-chip networks. In Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA. ACM, 2009. [doi]

Abstract

Abstract is missing.