Row-buffer decoupling: A case for low-latency DRAM microarchitecture

Seongil O, Young Hoon Son, Nam Sung Kim, Jung Ho Ahn. Row-buffer decoupling: A case for low-latency DRAM microarchitecture. In ACM/IEEE 41st International Symposium on Computer Architecture, ISCA 2014, Minneapolis, MN, USA, June 14-18, 2014. pages 337-348, IEEE, 2014. [doi]

Abstract

Abstract is missing.