FIST: A fast, lightweight, FPGA-friendly packet latency estimator for NoC modeling in full-system simulations

Michael Papamichael, James C. Hoe, Onur Mutlu. FIST: A fast, lightweight, FPGA-friendly packet latency estimator for NoC modeling in full-system simulations. In NOCS 2011, Fifth ACM/IEEE International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011. pages 137-144, IEEE Computer Society, 2011. [doi]

Authors

Michael Papamichael

This author has not been identified. Look up 'Michael Papamichael' in Google

James C. Hoe

This author has not been identified. Look up 'James C. Hoe' in Google

Onur Mutlu

This author has not been identified. It may be one of the following persons: Look up 'Onur Mutlu' in Google